会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 21. 发明授权
    • Method and system for enhanced branch history prediction accuracy in a
superscalar processor system
    • 在超标量处理器系统中增强分支历史预测精度的方法和系统
    • US5367703A
    • 1994-11-22
    • US2289
    • 1993-01-08
    • David S. Levitan
    • David S. Levitan
    • G06F9/38G06F9/32G06F13/00
    • G06F9/3844
    • A method and system for enhanced branch history prediction accuracy in a superscalar processor system by maintaining branch history tables which include a separate branch history for each instruction fetch position within a multi-instruction access. In a superscalar processor system which is capable of accessing multiple instructions simultaneously, a branch history table is established which includes a predictive field for each possible instruction fetch position within a multi-instruction access. Each group of predictive fields is accessed within the branch history table utilizing a portion of the instruction fetch address, such as the low order address bits. A particular predictive field within the group is then selected which corresponds to the position of the branch instruction within the instruction fetch. The content of the selected predictive field is then utilized to predict whether or not a branch is taken for the corresponding branch instruction. Each predictive field preferably comprises a two bit binary counter which is incremented or decremented in response to a branch being "taken" or "not taken" and then stored again within the branch history table.
    • 一种用于在超标量处理器系统中通过维持分支历史表来增强分支历史预测精度的方法和系统,所述分支历史表包括多指令访问内的每个指令获取位置的单独分支历史。 在能够同时访问多个指令的超标量处理器系统中,建立分支历史表,其包括用于多指令访问内的每个可能的指令取出位置的预测字段。 使用提取地址的一部分,例如低位地址位,在分支历史表中访问每组预测字段。 然后选择组内的特定预测字段,其对应于指令提取内的分支指令的位置。 然后使用所选择的预测字段的内容来预测是否对相应的分支指令进行分支。 每个预测字段优选地包括两位二进制计数器,其响应于“采取”或“未采用”的分支而递增或递减,然后再次存储在分支历史表中。
    • 24. 发明授权
    • Branch target address cache selectively applying a delayed hit
    • 分支目标地址缓存有选择地应用延迟命中
    • US07877586B2
    • 2011-01-25
    • US12024190
    • 2008-02-01
    • David S. LevitanLixin Zhang
    • David S. LevitanLixin Zhang
    • G06F9/32G06F9/42
    • G06F9/3806G06F9/3844G06F9/3869
    • In at least one embodiment, a processor includes at least one execution unit that executes instructions and instruction sequencing logic, coupled to the at least one execution unit, that fetches instructions from a memory system for execution by the at least one execution unit. The instruction sequencing logic including branch target address prediction circuitry that stores a branch target address prediction associating a first instruction fetch address with a branch target address to be used as a second instruction fetch address. The branch target address prediction circuitry includes delay logic that, in response to at least a tag portion of a third instruction fetch address matching the first instruction fetch address, delays access to the memory system utilizing the second instruction fetch address if no branch target address prediction was made in an immediately previous cycle of operation.
    • 在至少一个实施例中,处理器包括至少一个执行单元,其执行耦合到所述至少一个执行单元的指令和指令排序逻辑,所述指令和指令排序逻辑从存储器系统取出用于由所述至少一个执行单元执行的指令。 指令排序逻辑包括分支目标地址预测电路,其存储将第一指令取出地址与要用作第二指令取出地址的分支目标地址相关联的分支目标地址预测。 分支目标地址预测电路包括延迟逻辑,响应于与第一指令获取地址匹配的第三指令获取地址的至少一个标签部分,如果没有分支目标地址预测,则使用第二指令获取地址延迟对存储器系统的访问 是在上一个操作循环中进行的。
    • 25. 发明授权
    • Branch target address cache including address type tag bit
    • 分支目标地址缓存包括地址类型标签位
    • US07865705B2
    • 2011-01-04
    • US12024203
    • 2008-02-01
    • David S. LevitanLixin Zhang
    • David S. LevitanLixin Zhang
    • G06F9/35G06F9/355
    • G06F9/3806G06F9/30094G06F9/3013G06F9/30174G06F9/3802G06F9/383G06F9/3836G06F9/384G06F9/3844G06F9/3889
    • In at least one embodiment, a processor includes an execution unit and instruction sequencing logic that fetches instructions from a memory system for execution by the execution unit. The instruction sequencing logic includes branch logic that outputs predicted branch target addresses for use as instruction fetch addresses. The branch logic includes a branch target address prediction circuitry concurrently holding a first entry providing storage for a first branch target address prediction associating a first instruction fetch address with a first branch target address to be used as an instruction fetch address and a second entry providing storage for a second branch target address prediction associating the first instruction fetch address with a different second branch target address. The first entry indicates a first instruction address type for the first instruction fetch address, and the second entry indicates a second instruction address type for the first instruction fetch address.
    • 在至少一个实施例中,处理器包括执行单元和指令排序逻辑,其从存储器系统中取出指令以供执行单元执行。 指令排序逻辑包括分支逻辑,该分支逻辑输出用作指令获取地址的预测分支目标地址。 分支逻辑包括分支目标地址预测电路,同时保持提供用于第一分支目标地址预测的存储的第一条目,其中第一分支目标地址预测将第一指令获取地址与要用作指令获取地址的第一转移目标地址相关联,以及第二条目提供存储 用于将第一指令提取地址与不同的第二分支目标地址相关联的第二分支目标地址预测。 第一条目指示第一指令获取地址的第一指令地址类型,第二条目指示第一指令提取地址的第二指令地址类型。
    • 27. 发明授权
    • Counter register implementation for speculative execution of branch on
count instructions
    • 计数器寄存器实现用于推算执行分支计数指令
    • US5421020A
    • 1995-05-30
    • US2445
    • 1993-01-08
    • David S. Levitan
    • David S. Levitan
    • G06F9/32G06F9/38G06F9/22
    • G06F9/3863G06F9/325
    • A data processing system for speculatively executing instructions. The data processing system includes a memory for storing instructions at addresses which can be generated by a branch unit in a processor. The processor also has a count register for storing an update value, a dispatch version value and a completion version value. A fetcher connected to the branch unit fetches instructions from memory based upon addresses calculated by the branch unit. The branch unit handles processing of conditional branch instructions. To do so, means for initializing the update value and the dispatch version value for branch control are provided. Further included are means responsive to completion of initialization for copying the update value as the completion version value. The system further includes means responsive to dispatch of a conditional branch instruction for examining the dispatch version value to determine if a branch should be taken and then decrementing the dispatch version value. Means responsive to completion of the branch provide for decrementing contents of a completion version register. Finally, means responsive to occurrence of an interrupt prior to completion of the branch provide for replacing the dispatch version value with the completion version value to restore the system to a state prior to the speculative execution of instructions.
    • 用于推测执行指令的数据处理系统。 数据处理系统包括用于存储可由处理器中的分支单元生成的地址处的指令的存储器。 处理器还具有用于存储更新值,分派版本值和完成版本值的计数寄存器。 连接到分支单元的提取器基于由分支单元计算的地址从存储器获取指令。 分支单元处理条件分支指令的处理。 为此,提供了初始化更新值和分支控制的调度版本值的方法。 还包括响应于完成初始化的装置,用于将更新值复制为完成版本值。 该系统还包括响应于调度用于检查分派版本值的条件分支指令的装置,以确定是否应该分支,然后减去分派版本值。 响应于分支完成的手段提供完成版本寄存器的递减内容。 最后,在分支完成之前响应于中断的发生的装置提供用完成版本值替换分派版本值,以将系统恢复到在推测执行指令之前的状态。