会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 1. 发明申请
    • Power saving methods and apparatus to selectively enable cache bits based on known processor state
    • 省电方法和装置,用于基于已知的处理器状态选择性地启用高速缓存位
    • US20060200686A1
    • 2006-09-07
    • US11073284
    • 2005-03-04
    • Brian StempelJames DieffenderferJeffrey BridgesRodney SmithThomas Sartorius
    • Brian StempelJames DieffenderferJeffrey BridgesRodney SmithThomas Sartorius
    • G06F1/26
    • G06F9/382G06F9/30152G06F9/3816G06F12/0875Y02D10/13
    • A processor capable of fetching and executing variable length instructions is described having instructions of at least two lengths. The processor operates in multiple modes. One of the modes restricts instructions that can be fetched and executed to the longer length instructions. An instruction cache is used for storing variable length instructions and their associated predecode bit fields in an instruction cache line and storing the instruction address and processor operating mode state information at the time of the fetch in a tag line. The processor operating mode state information indicates the program specified mode of operation of the processor. The processor fetches instructions from the instruction cache for execution. As a result of an instruction fetch operation, the instruction cache may selectively enable the writing of predecode bit fields in the instruction cache and may selectively enable the reading of predecode bit fields stored in the instruction cache based on the processor state at the time of the fetch.
    • 描述具有至少两个长度的指令的能够获取和执行可变长度指令的处理器。 处理器以多种模式运行。 其中一种模式限制了可以获取并执行到较长长度指令的指令。 指令高速缓存用于在指令高速缓存行中存储可变长度指令及其相关联的预解码位字段,并且在获取标签行时存储指令地址和处理器操作模式状态信息。 处理器操作模式状态信息指示处理器的程序指定的操作模式。 处理器从指令缓存器中获取指令以执行。 作为指令提取操作的结果,指令高速缓存可以选择性地启用指令高速缓存中的预解码位字段的写入,并且可以基于处理器状态来选择性地启用存储在指令高速缓存中的预解码位字段的读取 取。
    • 2. 发明申请
    • Method and apparatus for predicting branch instructions
    • 用于预测分支指令的方法和装置
    • US20060277397A1
    • 2006-12-07
    • US11144206
    • 2005-06-02
    • Thomas SartoriusBrian StempelJeffrey BridgesJames DieffenderferRodney Smith
    • Thomas SartoriusBrian StempelJeffrey BridgesJames DieffenderferRodney Smith
    • G06F9/44
    • G06F9/3844
    • A microprocessor includes two branch history tables, and is configured to use a first one of the branch history tables for predicting branch instructions that are hits in a branch target cache, and to use a second one of the branch history tables for predicting branch instructions that are misses in the branch target cache. As such, the first branch history table is configured to have an access speed matched to that of the branch target cache, so that its prediction information is timely available relative to branch target cache hit detection, which may happen early in the microprocessor's instruction pipeline. The second branch history table thus need only be as fast as is required for providing timely prediction information in association with recognizing branch target cache misses as branch instructions, such as at the instruction decode stage(s) of the instruction pipeline.
    • 微处理器包括两个分支历史表,并且被配置为使用第一个分支历史表来预测分支目标高速缓存中的命中的分支指令,并且使用第二个分支历史表来预测分支指令, 在分支目标缓存中丢失。 因此,第一分支历史表被配置为具有与分支目标高速缓存的访问速度匹配的访问速度,使得其预测信息相对于可能在微处理器的指令流水线的早期发生的分支目标高速缓存命中检测而及时可用。 因此,第二分支历史表仅需要与将识别分支目标高速缓存未命中作为分支指令(例如在指令流水线的指令解码阶段)相关联地提供及时的预测信息所需的速度。
    • 7. 发明申请
    • Method and apparatus for managing a link return stack
    • 用于管理链路返回栈的方法和装置
    • US20060294346A1
    • 2006-12-28
    • US11165268
    • 2005-06-22
    • Brian StempelJames DieffenderferThomas SartoriusRodney Smith
    • Brian StempelJames DieffenderferThomas SartoriusRodney Smith
    • G06F15/00
    • G06F9/3842G06F9/30054G06F9/3806G06F9/3861
    • In one or more embodiments, a processor includes a link return stack circuit used for storing branch return addresses, wherein a link return stack controller is configured to determine that one or more entries in the link return stack are invalid as being dependent on a mispredicted branch, and to reset the link return stack to a valid remaining entry, if any. In this manner, branch mispredictions cause dependent entries in the link return stack to be flushed from the link return stack, or otherwise invalidated, while preserving the remaining valid entries, if any, in the link return stack. In at least one embodiment, a branch information queue used for tracking predicted branches is configured to store a marker indicating whether a predicted branch has an associated entry in the link return stack, and it may store an index value identifying the specific, corresponding entry in the link return stack.
    • 在一个或多个实施例中,处理器包括用于存储分支返回地址的链路返回堆栈电路,其中,链路返回栈控制器被配置为确定链路返回栈中的一个或多个条目是无效的,这取决于错误预测的分支 ,并将链接返回堆栈重置为有效的剩余条目(如果有)。 以这种方式,分支错误预测会导致链接返回堆栈中的相关条目从链接返回堆栈刷新,否则无效,同时保留链接返回堆栈中的剩余有效条目(如果有的话)。 在至少一个实施例中,用于跟踪预测分支的分支信息队列被配置为存储指示预测分支是否具有链接返回栈中的相关联条目的标记,并且其可以存储标识特定相应条目的索引值 链接返回堆栈。