会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 1. 发明授权
    • Processor operating mode for mitigating dependency conditions between instructions having different operand sizes
    • 用于缓解具有不同操作数大小的指令之间的依赖条件的处理器操作模式
    • US08504805B2
    • 2013-08-06
    • US12428464
    • 2009-04-22
    • Robert T. GollaPaul J. JordanJama I. BarrehMatthew B. SmittleYuan C. ChouJared C. Smolens
    • Robert T. GollaPaul J. JordanJama I. BarrehMatthew B. SmittleYuan C. ChouJared C. Smolens
    • G06F7/483
    • G06F9/3838G06F9/30032G06F9/30109G06F9/30189
    • Various techniques for mitigating dependencies between groups of instructions are disclosed. In one embodiment, such dependencies include “evil twin” conditions, in which a first floating-point instruction has as a destination a first portion of a logical floating-point register (e.g., a single-precision write), and in which a second, subsequent floating-point instruction has as a source the first portion and a second portion of the same logical floating-point register (e.g., a double-precision read). The disclosed techniques may be applicable in a multithreaded processor implementing register renaming. In one embodiment, a processor may enter an operating mode in which detection of evil twin “producers” (e.g., single-precision writes) causes the instruction sequence to be modified to break potential dependencies. Modification of the instruction sequence may continue until one or more exit criteria are reached (e.g., committing a predetermined number of single-precision writes). This operating mode may be employed on a per-thread basis.
    • 公开了用于减轻指令组之间依赖性的各种技术。 在一个实施例中,这种依赖性包括“恶双”条件,其中第一浮点指令具有作为目的地的逻辑浮点寄存器的第一部分(例如,单精度写入),并且其中第二浮点指令 后续浮点指令作为源的相同逻辑浮点寄存器的第一部分和第二部分(例如,双精度读取)。 所公开的技术可以适用于实现寄存器重命名的多线程处理器。 在一个实施例中,处理器可以进入操作模式,在该操作模式中,恶意孪生“生产者”(例如,单精度写入)的检测导致指令序列被修改以破坏潜在依赖性。 指令序列的修改可以继续,直到达到一个或多个退出标准(例如,提交预定数量的单精度写入)。 该操作模式可以在每个线程的基础上使用。
    • 2. 发明申请
    • PROCESSOR OPERATING MODE FOR MITIGATING DEPENDENCY CONDITIONS
    • 处理器操作模式以减轻依赖性条件
    • US20100274994A1
    • 2010-10-28
    • US12428464
    • 2009-04-22
    • Robert T. GollaPaul J. JordanJama I. BarrehMatthew B. SmittelYuan C. ChouJared C. Smolens
    • Robert T. GollaPaul J. JordanJama I. BarrehMatthew B. SmittelYuan C. ChouJared C. Smolens
    • G06F9/30
    • G06F9/3838G06F9/30032G06F9/30109G06F9/30189
    • Various techniques for mitigating dependencies between groups of instructions are disclosed. In one embodiment, such dependencies include “evil twin” conditions, in which a first floating-point instruction has as a destination a first portion of a logical floating-point register (e.g., a single-precision write), and in which a second, subsequent floating-point instruction has as a source the first portion and a second portion of the same logical floating-point register (e.g., a double-precision read). The disclosed techniques may be applicable in a multithreaded processor implementing register renaming. In one embodiment, a processor may enter an operating mode in which detection of evil twin “producers” (e.g., single-precision writes) causes the instruction sequence to be modified to break potential dependencies. Modification of the instruction sequence may continue until one or more exit criteria are reached (e.g., committing a predetermined number of single-precision writes). This operating mode may be employed on a per-thread basis.
    • 公开了用于减轻指令组之间依赖性的各种技术。 在一个实施例中,这种依赖性包括“恶双”条件,其中第一浮点指令具有作为目的地的逻辑浮点寄存器的第一部分(例如,单精度写入),并且其中第二浮点指令 后续浮点指令作为源的相同逻辑浮点寄存器的第一部分和第二部分(例如,双精度读取)。 所公开的技术可以适用于实现寄存器重命名的多线程处理器。 在一个实施例中,处理器可以进入操作模式,在该操作模式中,恶意孪生“生产者”(例如,单精度写入)的检测导致指令序列被修改以破坏潜在依赖性。 指令序列的修改可以继续,直到达到一个或多个退出标准(例如,提交预定数量的单精度写入)。 该操作模式可以在每个线程的基础上使用。
    • 4. 发明授权
    • Minimal address state in a fine grain multithreaded processor
    • 细粒度多线程处理器中的最小地址状态
    • US07343474B1
    • 2008-03-11
    • US10881616
    • 2004-06-30
    • Paul J. JordanRobert T. GollaJama I. Barreh
    • Paul J. JordanRobert T. GollaJama I. Barreh
    • G06F9/30
    • G06F9/3867G06F9/3802G06F9/3851
    • In one embodiment, a processor comprises a plurality of pipeline stages and a first circuit operable at a first pipeline stage of the plurality of pipeline stages. The first circuit is configured to maintain a plurality of program counters (PCs), each of which corresponds to one of a plurality of threads that the processor is configured to have concurrently in process with respect to the plurality of pipeline stages. The first circuit is configured to provide a first PC to a second pipeline stage of the plurality of pipeline stages. The first PC is derived from one of the plurality of PCs that corresponds to a first thread of the plurality of threads, and a first instruction entering the second pipeline stage is from the first thread.
    • 在一个实施例中,处理器包括多个流水线级和在多个流水线级的第一流水线级可工作的第一电路。 第一电路被配置为维持多个程序计数器(PC),每个程序计数器(PC)对应于处理器被配置为相对于多个流水线级并行处理的多个线程中的一个。 第一电路被配置为向多个流水线级的第二流水线级提供第一PC。 第一个PC是从与多个线程中的第一个线程相对应的多个PC中的一个导出的,并且进入第二流水线级的第一指令来自第一线程。
    • 7. 发明申请
    • MITIGATION OF THREAD HOGS ON A THREADED PROCESSOR USING A GENERAL LOAD/STORE TIMEOUT COUNTER
    • 使用一般负载/存储超时计数器在螺纹加工器上减少螺纹头
    • US20130297910A1
    • 2013-11-07
    • US13463319
    • 2012-05-03
    • Jared C. SmolensRobert T. GollaMark A. LuttrellPaul J. Jordan
    • Jared C. SmolensRobert T. GollaMark A. LuttrellPaul J. Jordan
    • G06F9/30G06F9/38
    • G06F9/3861G06F9/3851G06F9/5016G06F2209/507
    • Systems and methods for efficient thread arbitration in a threaded processor with dynamic resource allocation. A processor includes a resource shared by multiple threads. The resource includes entries which may be allocated for use by any thread. Control logic detects long latency instructions. Long latency instructions have a latency greater than a given threshold. One example is a load instruction that has a read-after-write (RAW) data dependency on a store instruction that misses a last-level data cache. The long latency instruction or an immediately younger instruction is selected for replay for an associated thread. A pipeline flush and replay for the associated thread begins with the selected instruction. Instructions younger than the long latency instruction are held at a given pipeline stage until the long latency instruction completes. During replay, this hold prevents resources from being allocated to the associated thread while the long latency instruction is being serviced.
    • 在具有动态资源分配的线程处理器中有效的线程仲裁的系统和方法。 处理器包括由多个线程共享的资源。 资源包括可以分配给任何线程使用的条目。 控制逻辑检测长延迟指令。 长延迟指令的延迟大于给定的阈值。 一个示例是对于丢失最后一级数据高速缓存的存储指令具有对后读写(RAW)数据依赖性的加载指令。 选择长延迟指令或立即更年轻的指令用于相关线程的重放。 相关线程的流水线冲洗和重播将以所选指令开始。 比长延迟指令更年轻的指令保持在给定的流水线阶段,直到长延迟指令完成。 在重放期间,这种保持可以防止资源被分配给相关联的线程,而长时间延迟指令被服务。
    • 8. 发明申请
    • BRANCH MISPREDICTION RECOVERY MECHANISM FOR MICROPROCESSORS
    • 用于微处理器的分支机构故障恢复机制
    • US20100169611A1
    • 2010-07-01
    • US12346349
    • 2008-12-30
    • Yuan C. ChouRobert T. GollaMark A. LuttrellPaul J. JordanManish Shah
    • Yuan C. ChouRobert T. GollaMark A. LuttrellPaul J. JordanManish Shah
    • G06F9/312
    • G06F9/3844G06F9/3863
    • A system and method for reducing branch misprediction penalty. In response to detecting a mispredicted branch instruction, circuitry within a microprocessor identifies a predetermined condition prior to retirement of the branch instruction. Upon identifying this condition, the entire corresponding pipeline is flushed prior to retirement of the branch instruction, and instruction fetch is started at a corresponding address of an oldest instruction in the pipeline immediately prior to the flushing of the pipeline. The correct outcome is stored prior to the pipeline flush. In order to distinguish the mispredicted branch from other instructions, identification information may be stored alongside the correct outcome. One example of the predetermined condition being satisfied is in response to a timer reaching a predetermined threshold value, wherein the timer begins incrementing in response to the mispredicted branch detection and resets at retirement of the mispredicted branch.
    • 减少分支误判处罚的系统和方法。 响应于检测到错误的分支指令,微处理器内的电路在退出分支指令之前识别预定的条件。 在识别该条件之后,在分支指令退出之前将整个对应的流水线冲洗,并且在冲洗流水线之前在管道中的最早的指令的对应地址开始指令提取。 在管道冲洗之前存储正确的结果。 为了将错误预测的分支与其他指令区分开,识别信息可以与正确的结果一起存储。 满足预定条件的一个示例是响应于定时器达到预定阈值,其中定时器响应于错误预测的分支检测而开始递增,并且在退出预测分支时重置。
    • 9. 发明授权
    • Branch misprediction recovery mechanism for microprocessors
    • 微处理器分支错误预测恢复机制
    • US08099586B2
    • 2012-01-17
    • US12346349
    • 2008-12-30
    • Yuan C. ChouRobert T. GollaMark A. LuttrellPaul J. JordanManish Shah
    • Yuan C. ChouRobert T. GollaMark A. LuttrellPaul J. JordanManish Shah
    • G06F9/00
    • G06F9/3844G06F9/3863
    • A system and method for reducing branch misprediction penalty. In response to detecting a mispredicted branch instruction, circuitry within a microprocessor identifies a predetermined condition prior to retirement of the branch instruction. Upon identifying this condition, the entire corresponding pipeline is flushed prior to retirement of the branch instruction, and instruction fetch is started at a corresponding address of an oldest instruction in the pipeline immediately prior to the flushing of the pipeline. The correct outcome is stored prior to the pipeline flush. In order to distinguish the mispredicted branch from other instructions, identification information may be stored alongside the correct outcome. One example of the predetermined condition being satisfied is in response to a timer reaching a predetermined threshold value, wherein the timer begins incrementing in response to the mispredicted branch detection and resets at retirement of the mispredicted branch.
    • 减少分支误判处罚的系统和方法。 响应于检测到错误的分支指令,微处理器内的电路在退出分支指令之前识别预定的条件。 在识别该条件之后,在分支指令退出之前将整个对应的流水线冲洗,并且在冲洗流水线之前在管道中的最早的指令的对应地址开始指令提取。 在管道冲洗之前存储正确的结果。 为了将错误预测的分支与其他指令区分开,识别信息可以与正确的结果一起存储。 满足预定条件的一个示例是响应于定时器达到预定阈值,其中定时器响应于错误预测的分支检测而开始递增,并且在退出预测分支时重置。