会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 3. 发明申请
    • Family of Multiplexer/Flip-Flops with Enhanced Testability
    • 具有增强可测性的多路复用器/触发器系列
    • US20130169332A1
    • 2013-07-04
    • US12796949
    • 2010-06-09
    • Mujibur RahmanTimothy D. AndersonAlan Hales
    • Mujibur RahmanTimothy D. AndersonAlan Hales
    • H03K3/356
    • H03K3/356H03K3/356052
    • A multibit combined multiplexer and flip-flop circuit has a plurality of bit circuits. Each bit circuit includes and input section, a flip-flop section and a per bit control section. The input sections have inputs for plural of input signals and corresponding input pass gates. The outputs of the input pass gates are connected to the input of the flip-flop section. Each per bit control section includes an inverter for each input terminal. There is a combined control section receiving a clock signal and a control signals for selection of only one of the input signals. The combined control section include a logical AND for each input signal combining the clock signal and the selection signal. The output of each logical AND is connected to the input of a corresponding inverter of each per bit control circuit. The input pass gate are controlled by a corresponding logical AND and said corresponding inverter.
    • 多位组合多路复用器和触发器电路具有多个位电路。 每个位电路包括和输入部分,触发器部分和每位控制部分。 输入部分具有用于多个输入信号和相应的输入传递门的输入。 输入通过门的输出端连接到触发器部分的输入端。 每个位控制部分包括用于每个输入端的反相器。 存在组合控制部分,其接收时钟信号和用于仅选择一个输入信号的控制信号。 组合控制部分包括组合时钟信号和选择信号的每个输入信号的逻辑“与”。 每个逻辑AND的输出连接到每个位每个控制电路的相应反相器的输入端。 输入通道门由对应的逻辑与和相应的反相器控制。
    • 4. 发明授权
    • Family of multiplexer/flip-flops with enhanced testability
    • 具有增强可测性的多路复用器/触发器系列
    • US08525565B2
    • 2013-09-03
    • US12796949
    • 2010-06-09
    • Mujibur RahmanTimothy D. AndersonAlan Hales
    • Mujibur RahmanTimothy D. AndersonAlan Hales
    • H03K3/289H03K3/356
    • H03K3/356H03K3/356052
    • A multibit combined multiplexer and flip-flop circuit has a plurality of bit circuits. Each bit circuit includes and input section, a flip-flop section and a per bit control section. The input sections have inputs for plural of input signals and corresponding input pass gates. The outputs of the input pass gates are connected to the input of the flip-flop section. Each per bit control section includes an inverter for each input terminal. There is a combined control section receiving a clock signal and a control signals for selection of only one of the input signals. The combined control section include a logical AND for each input signal combining the clock signal and the selection signal. The output of each logical AND is connected to the input of a corresponding inverter of each per bit control circuit. The input pass gate are controlled by a corresponding logical AND and said corresponding inverter.
    • 多位组合多路复用器和触发器电路具有多个位电路。 每个位电路包括和输入部分,触发器部分和每位控制部分。 输入部分具有用于多个输入信号和相应的输入传递门的输入。 输入通过门的输出端连接到触发器部分的输入端。 每个位控制部分包括用于每个输入端的反相器。 存在组合控制部分,其接收时钟信号和用于仅选择一个输入信号的控制信号。 组合控制部分包括组合时钟信号和选择信号的每个输入信号的逻辑“与”。 每个逻辑AND的输出连接到每个位每个控制电路的相应反相器的输入端。 输入通道门由对应的逻辑与和相应的反相器控制。
    • 5. 发明申请
    • Scan testing system, method and apparatus
    • 扫描测试系统,方法和设备
    • US20050186726A1
    • 2005-08-25
    • US11103781
    • 2005-04-11
    • Lee WhetselAlan Hales
    • Lee WhetselAlan Hales
    • G01R31/3185G01R31/26
    • G01R31/3177G01R1/07342G01R31/318536G01R31/318541G01R31/318544G01R31/318547G01R31/318555G01R31/318558G01R31/318563G01R31/318566G01R31/318572G01R31/31924G01R31/31926
    • Test circuits located on semiconductor die enable a tester to test a plurality of die/ICs in parallel by inputting both stimulus and response patterns to the plurality of die/ICs. The response patterns from the tester are input to the test circuits along with the output response of the die/IC to be compared. Also disclosed is the use of a response signal encoding scheme whereby the tester transmits response test commands to the test circuits, using a single signal per test circuit, to perform: (1) a compare die/IC output against an expected logic high, (2) a compare die/IC output against an expected logic low, and (3) a mask compare operation. The use of the signal encoding scheme allows functional testing of die and ICs since all response test commands (i.e. 1-3 above) required at each die/IC output can be transmitted to each die/IC output using only a single tester signal connection per die/IC output. In addition to functional testing, scan testing of die and ICs is also possible.
    • 位于半导体管芯上的测试电路使得测试仪能够通过将激励和响应模式输入到多个管芯/ IC来并行地测试多个管芯/ IC。 来自测试器的响应模式与待比较的芯片/ IC的输出响应一起输入到测试电路。 还公开了使用响应信号编码方案,其中测试者使用每个测试电路的单个信号向测试电路发送响应测试命令,以执行:(1)比较管芯/ IC输出与期望的逻辑高( 2)比较管芯/ IC输出与预期逻辑低电平,以及(3)掩模比较操作。 信号编码方案的使用允许对芯片和IC进行功能测试,因为每个管芯/ IC输出所需的所有响应测试命令(即1-3以上)可以仅使用单个测试仪信号连接传输到每个管芯/ IC输出 芯片/ IC输出。 除功能测试外,还可以对芯片和IC进行扫描测试。
    • 6. 发明申请
    • SCAN TESTING SYSTEM, METHOD AND APPARATUS
    • 扫描测试系统,方法和设备
    • US20110041019A1
    • 2011-02-17
    • US12911424
    • 2010-10-25
    • Lee D. WhetselAlan Hales
    • Lee D. WhetselAlan Hales
    • G06F11/00
    • G01R31/3177G01R1/07342G01R31/318536G01R31/318541G01R31/318544G01R31/318547G01R31/318555G01R31/318558G01R31/318563G01R31/318566G01R31/318572G01R31/31924G01R31/31926
    • Test circuits located on semiconductor die enable a tester to test a plurality of die/ICs in parallel by inputting both stimulus and response patterns to the plurality of die/ICs. The response patterns from the tester are input to the test circuits along with the output response of the die/IC to be compared. Also disclosed is the use of a response signal encoding scheme whereby the tester transmits response test commands to the test circuits, using a single signal per test circuit, to perform: (1) a compare die/IC output against an expected logic high, (2) a compare die/IC output against an expected logic low, and (3) a mask compare operation. The use of the signal encoding scheme allows functional testing of die and ICs since all response test commands (i.e. 1-3 above) required at each die/IC output can be transmitted to each die/IC output using only a single tester signal connection per die/IC output. In addition to functional testing, scan testing of die and ICs is also possible.
    • 位于半导体管芯上的测试电路使得测试仪能够通过将激励和响应模式输入到多个管芯/ IC来并行地测试多个管芯/ IC。 来自测试器的响应模式与待比较的芯片/ IC的输出响应一起输入到测试电路。 还公开了使用响应信号编码方案,其中测试者使用每个测试电路的单个信号向测试电路发送响应测试命令,以执行:(1)比较管芯/ IC输出与期望的逻辑高( 2)比较管芯/ IC输出与预期逻辑低电平,以及(3)掩模比较操作。 信号编码方案的使用允许对芯片和IC进行功能测试,因为每个管芯/ IC输出所需的所有响应测试命令(即1-3以上)可以仅使用单个测试仪信号连接传输到每个管芯/ IC输出 芯片/ IC输出。 除功能测试外,还可以对芯片和IC进行扫描测试。
    • 8. 发明授权
    • IC with comparator receiving expected and mask data from pads
    • IC与比较器接收来自焊盘的预期和掩模数据
    • US07655946B2
    • 2010-02-02
    • US12329957
    • 2008-12-08
    • Lee D. WhetselAlan Hales
    • Lee D. WhetselAlan Hales
    • H01L23/58
    • G01R31/3177G01R1/07342G01R31/318536G01R31/318541G01R31/318544G01R31/318547G01R31/318555G01R31/318558G01R31/318563G01R31/318566G01R31/318572G01R31/31924G01R31/31926
    • Test circuits located on semiconductor die enable a tester to test a plurality of die/ICs in parallel by inputting both stimulus and response patterns to the plurality of die/ICs. The response patterns from the tester are input to the test circuits along with the output response of the die/IC to be compared. Also disclosed is the use of a response signal encoding scheme whereby the tester transmits response test commands to the test circuits, using a single signal per test circuit, to perform: (1) a compare die/IC output against an expected logic high, (2) a compare die/IC output against an expected logic low, and (3) a mask compare operation. The use of the signal encoding scheme allows functional testing of die and ICs since all response test commands (i.e. 1-3 above) required at each die/IC output can be transmitted to each die/IC output using only a single tester signal connection per die/IC output. In addition to functional testing, scan testing of die and ICs is also possible.
    • 位于半导体管芯上的测试电路使得测试仪能够通过将激励和响应模式输入到多个管芯/ IC来并行地测试多个管芯/ IC。 来自测试器的响应模式与待比较的芯片/ IC的输出响应一起输入到测试电路。 还公开了使用响应信号编码方案,其中测试者使用每个测试电路的单个信号向测试电路发送响应测试命令,以执行:(1)比较管芯/ IC输出与期望的逻辑高( 2)比较管芯/ IC输出与预期逻辑低电平,以及(3)掩模比较操作。 信号编码方案的使用允许对芯片和IC进行功能测试,因为每个管芯/ IC输出所需的所有响应测试命令(即1-3)都可以仅使用单个测试仪信号连接传输到每个管芯/ IC输出 芯片/ IC输出。 除功能测试外,还可以对芯片和IC进行扫描测试。
    • 9. 发明申请
    • Scan Sequenced Power-On Initialization
    • 扫描顺序上电初始化
    • US20060259838A1
    • 2006-11-16
    • US11381624
    • 2006-05-04
    • Lewis NardiniAlan Hales
    • Lewis NardiniAlan Hales
    • G01R31/28
    • G01R31/318575
    • A scan sequenced initialization technique supplies a predefined power-on state to a device or module without using explicit reset input to the registers. This invention supplies a predefined pattern to parallel scan chains following power-on reset. These parallel scan chains are already required for structural manufacturing test. Once the power-on reset scanning is complete, the power-on reset sequencer indicates completion of state initialization to other circuits. These other circuits are those which interact with the module or device using this invention.
    • 扫描顺序初始化技术将预定义的开机状态提供给设备或模块,而不使用对寄存器的显式复位输入。 本发明在上电复位后将预定义的模式提供给并行扫描链。 这些平行扫描链已经是结构制造测试所必需的。 一旦上电复位扫描完成,上电复位定序器就会指示完成其他电路的状态初始化。 这些其他电路是与使用本发明的模块或装置相互作用的电路。