会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 1. 发明授权
    • RTL code optimization for resource sharing structures
    • 用于资源共享结构的RTL代码优化
    • US06438730B1
    • 2002-08-20
    • US09866661
    • 2001-05-30
    • Kiran AtmakuriJuergen LahnerGopinath Kudva
    • Kiran AtmakuriJuergen LahnerGopinath Kudva
    • G06F1750
    • G06F17/5045
    • A system and method of optimizing a circuit design. The design may be coded in register transfer language (RTL) code. First the design code representing an integrated circuit design to be optimized is retrieved and sequentially searched for decision constructs. As each decision construct is encountered, it is checked to determine whether both branches drive a common output in response to a common select signal. If so, a determination is made whether the decision construct includes a common arithmetic operation in said both branches, and so, may be optimized. A construct library for a corresponding optimized construct and the selected decision construct is replaced with an optimized construct. After all of the decision constructs are checked, the optimized design code is stored, replacing the original design code. The optimized RTL design code has an identical logic function to the original retrieved RTL code.
    • 一种优化电路设计的系统和方法。 该设计可以用寄存器传输语言(RTL)代码编码。 首先,代表要优化的集成电路设计的设计代码被检索并依次搜索决策结构。 当遇到每个决策构造时,检查确定两个分支是否响应于公共选择信号驱动公共输出。 如果是这样,则确定决策构造是否包括在所述两个分支中的公共算术运算,因此可以被优化。 用于相应的优化构造的构造库和所选择的决策构造被替换为优化的构造。 检查所有决策结构后,存储优化的设计代码,替换原始设计代码。 优化的RTL设计代码与原始检索的RTL代码具有相同的逻辑功能。
    • 3. 发明申请
    • Method of optimizing RTL code for multiplex structures
    • 优化多路复用结构的RTL码的方法
    • US20050257180A1
    • 2005-11-17
    • US10844664
    • 2004-05-12
    • Juergen LahnerKiran AtmakuriKavitha Chaturvedula
    • Juergen LahnerKiran AtmakuriKavitha Chaturvedula
    • G06F17/50
    • G06F17/505
    • A method and computer program are disclosed for optimizing RTL code for an integrated circuit design that include steps of: (a) receiving as input a first register transfer level code for an integrated circuit design; (b) receiving as input a user defined optimum multiplex structure; (c) analyzing the first register transfer level code to identify a critical multiplex structure; (d) partitioning the global multiplex structure into local multiplex structures each identical to the user defined optimum multiplex structure; and (e) generating as output a second register transfer level code for the integrated circuit design that replaces the global multiplex structure with the local multiplex structures.
    • 公开了一种用于优化用于集成电路设计的RTL代码的方法和计算机程序,其包括以下步骤:(a)作为输入接收用于集成电路设计的第一寄存器传送级代码; (b)接收用户定义的最佳多路复用结构作为输入; (c)分析第一寄存器传送级代码以识别关键的多路复用结构; (d)将全局多路复用结构划分为与用户定义的最佳多路复用结构相同的本地多路复用结构; 以及(e)为所述集成电路设计产生用本地多路复用结构代替所述全局多路复用结构的第二寄存器传送级代码作为输出。
    • 5. 发明授权
    • Enhanced method of optimizing multiplex structures and multiplex control structures in RTL code
    • 在RTL代码中优化多路复用结构和多路复用控制结构的增强方法
    • US07594201B2
    • 2009-09-22
    • US11460680
    • 2006-07-28
    • Juergen LahnerKiran AtmakuriKavitha Chaturvedula
    • Juergen LahnerKiran AtmakuriKavitha Chaturvedula
    • G06F17/50
    • G06F17/505G06F17/5054G06F17/5077
    • A method and computer program are disclosed for optimizing RTL code for an integrated circuit design that include steps of method of optimizing register transfer level code for an integrated circuit design comprising steps of receiving as input a first register transfer level code for the integrated circuit design and receiving as input criteria defining a critical multiplex structure. The first register transfer level code is analyzed to identify multiplex structures in the first register transfer level code. Each of the multiplex structures identified in the first register transfer level code is compared to the criteria defining a critical multiplex structure. Each of the multiplex structures identified in the first register transfer level code that satisfy the criteria defining a critical multiplex structure is entered in a list of critical multiplex structures. The list of critical multiplex structures is generated as output.
    • 公开了一种用于优化用于集成电路设计的RTL代码的方法和计算机程序,其包括用于集成电路设计的优化寄存器传送级代码的方法的步骤,包括以下步骤:接收用于集成电路设计的第一寄存器传送级代码和 接收作为定义关键多路复用结构的输入标准。 分析第一个寄存器传送电平代码以识别第一个寄存器传输电平代码中的多路复用结构。 将在第一寄存器传送级代码中识别的多路复用结构中的每一个与定义关键复用结构的标准进行比较。 在第一寄存器传送级代码中识别的满足定义关键复用结构的标准的多路复用结构中的每一个被输入到关键多路复用结构的列表中。 关键复用结构列表作为输出生成。
    • 6. 发明申请
    • ENHANCED METHOD OF OPTIMIZING MULTIPLEX STRUCTURES AND MULTIPLEX CONTROL STRUCTURES IN RTL CODE
    • 在RTL代码中优化多重结构和多重控制结构的增强方法
    • US20060282801A1
    • 2006-12-14
    • US11460680
    • 2006-07-28
    • Juergen LahnerKiran AtmakuriKavitha Chaturvedula
    • Juergen LahnerKiran AtmakuriKavitha Chaturvedula
    • G06F17/50
    • G06F17/505G06F17/5054G06F17/5077
    • A method and computer program are disclosed for optimizing RTL code for an integrated circuit design that include steps of method of optimizing register transfer level code for an integrated circuit design comprising steps of receiving as input a first register transfer level code for the integrated circuit design and receiving as input criteria defining a critical multiplex structure. The first register transfer level code is analyzed to identify multiplex structures in the first register transfer level code. Each of the multiplex structures identified in the first register transfer level code is compared to the criteria defining a critical multiplex structure. Each of the multiplex structures identified in the first register transfer level code that satisfy the criteria defining a critical multiplex structure is entered in a list of critical multiplex structures. The list of critical multiplex structures is generated as output.
    • 公开了一种用于优化用于集成电路设计的RTL代码的方法和计算机程序,其包括用于集成电路设计的优化寄存器传输级代码的方法的步骤,包括以下步骤:接收用于集成电路设计的第一寄存器传送级代码和 接收作为定义关键多路复用结构的输入标准。 分析第一个寄存器传送电平代码以识别第一个寄存器传输电平代码中的多路复用结构。 将在第一寄存器传送级代码中识别的多路复用结构中的每一个与定义关键复用结构的标准进行比较。 在第一寄存器传送级代码中识别的满足定义关键复用结构的标准的多路复用结构中的每一个被输入到关键多路复用结构的列表中。 关键复用结构列表作为输出生成。
    • 8. 发明授权
    • Integrated circuit having on-chip capacitors for supplying power to portions of the circuit requiring high-transient peak power
    • 具有用于向需要高瞬态峰值功率的电路的部分供电的片上电容器的集成电路
    • US06546538B1
    • 2003-04-08
    • US09523224
    • 2000-03-10
    • Shalini RubdiStefan GraefJuergen Lahner
    • Shalini RubdiStefan GraefJuergen Lahner
    • G06F1750
    • G06F17/5077
    • Provided is an integrated circuit (IC) device that includes a semiconductor substrate on which electronic components are formed and multiple metal layers on which wires are routed. Formed on the multiple metal layers is a capacitor that includes a first plate formed on a first metal layer and a second plate formed on a second metal layer that is adjacent to the first metal layer. An area in which the first plate and the second plate overlap has a width of at least twice the width of a typical wire on the IC device. Also provided is a technique for supplying power and ground to locations on an integrated circuit (IC) device that has multiple metal layers for routing wires and a substrate for forming electronic components. Initially, the technique identifies an overlap area where two of the multiple metal layers that are adjacent to each other have open space. A plate is then formed in the overlap area of each of the two metal layers so as to construct a capacitor. Then, one plate of the capacitor is connected to power, the other plate of the capacitor is connected to ground, and the plates of the capacitor are also connected to locations on the substrate of the IC device.
    • 提供了一种集成电路(IC)装置,其包括其上形成有电子部件的半导体基板和布线有多个金属层。 在多个金属层上形成的电容器包括形成在第一金属层上的第一板和形成在与第一金属层相邻的第二金属层上的第二板。 第一板和第二板重叠的区域的宽度至少是IC器件上典型导线宽度的两倍。 还提供了一种用于向具有用于布线的多个金属层的集成电路(IC)装置和用于形成电子部件的基板的集成电路(IC)装置的位置供电和接地的技术。 最初,该技术识别彼此相邻的多个金属层中的两个具有开放空间的重叠区域。 然后在两个金属层中的每一个的重叠区域中形成一个板,以构成电容器。 然后,电容器的一个板连接到电源,电容器的另一个板连接到地,并且电容器的板也连接到IC器件的基板上的位置。
    • 10. 发明授权
    • Method of optimizing RTL code for multiplex structures
    • 优化多路复用结构的RTL码的方法
    • US07086015B2
    • 2006-08-01
    • US10844664
    • 2004-05-12
    • Juergen LahnerKiran AtkmakuriKavitha Chaturvedula
    • Juergen LahnerKiran AtkmakuriKavitha Chaturvedula
    • G06F17/50
    • G06F17/505
    • A method and computer program are disclosed for optimizing RTL code for an integrated circuit design that include steps of: (a) receiving as input a first register transfer level code for an integrated circuit design; (b) receiving as input a user defined optimum multiplex structure; (c) analyzing the first register transfer level code to identify a critical multiplex structure; (d) partitioning the global multiplex structure into local multiplex structures each identical to the user defined optimum multiplex structure; and (e) generating as output a second register transfer level code for the integrated circuit design that replaces the global multiplex structure with the local multiplex structures.
    • 公开了一种用于优化用于集成电路设计的RTL代码的方法和计算机程序,其包括以下步骤:(a)作为输入接收用于集成电路设计的第一寄存器传送级代码; (b)接收用户定义的最佳多路复用结构作为输入; (c)分析第一寄存器传送级代码以识别关键的多路复用结构; (d)将全局多路复用结构划分为与用户定义的最佳多路复用结构相同的本地多路复用结构; 以及(e)为所述集成电路设计产生用本地多路复用结构代替所述全局多路复用结构的第二寄存器传送级代码作为输出。