会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 2. 发明申请
    • Digitally Controlled Delay Line for a Structured ASIC Having a Via Configurable Fabric for High-Speed Interface
    • 具有用于高速接口的通过可配置结构的结构化ASIC的数字控制延迟线
    • US20140103985A1
    • 2014-04-17
    • US13649584
    • 2012-10-11
    • eASIC Corporation
    • Alexander AndreevSergey GribokMarian SerbanMassimo VeritaKee-Wei SimKok-Hin Lew
    • H03H17/00
    • H03H17/0009H03H11/265H03K5/131H03K2005/00065
    • A Digitally Controlled Delay Line (DCDL) for a Structured ASIC chip is used to delaying input or output signals into or out of core logic in a Structured ASIC. The DCDL has a multi-stage configuration that in a preferred embodiment comprises two fine delay stages for fine tuning the delay using sub-gate delay through an inverter whose delay can be adjusted with parallel CMOS transistors whose gates are biased with a voltage control signal that is thermometer coded. The fine-tune stages are followed by coarse delay stages that use gate-level delay. A DCDL controller outputs control signals that are Grey coded and converted to thermometer coded control signals by a Binary-to-Thermometer Decoder. The DCDL circuit block and accompanying Structured ASIC are manufactured on a 28 nm CMOS process lithographic node or smaller. A high speed routing fabric using a balanced binary tree is employed with the DCDL.
    • 用于结构化ASIC芯片的数字控制延迟线(DCDL)用于将结构化ASIC中的输入或输出信号延迟或退出核心逻辑。 DCDL具有多级配置,在优选实施例中,包括两个精细延迟级,用于使用子门延迟微调微调延迟,该逆变器的延迟可以用其栅极被电压控制信号偏置的并行CMOS晶体管来调节, 是温度计编码。 微调阶段之后是使用门级延迟的粗略延迟阶段。 DCDL控制器输出灰度编码的控制信号,并通过二进制到温度计解码器转换为温度计编码的控制信号。 DCDL电路块和附带的结构化ASIC是在28nm CMOS工艺光刻节点上制造的。 DCDL采用使用平衡二叉树的高速路由选择。
    • 5. 发明授权
    • Via-configurable high-performance logic block involving transistor chains
    • 通过可配置的高性能逻辑块涉及晶体管链
    • US08957398B2
    • 2015-02-17
    • US13649510
    • 2012-10-11
    • eASIC Corporation
    • Alexander AndreevSergey GribokRanko L. ScepanovicPhey-Chuin TanChee-Wei Kung
    • H01L27/08H01L47/00H03K19/0948G06F17/50H03K19/177
    • H03K19/0948G06F17/505H03K19/17728H03K19/17796
    • A via-configurable logic block architecture for a Structured ASIC has a plurality of MOSFET transistor chains connected to one another through vias. In one embodiment there are three chains and the first transistor chain is a NFET transistor chain, the second transistor chain is a PFET transistor chain, and the third transistor chain is a NFET transistor chain. The first, second and third transistor chains are formed into devices made of transistors that are selected from a voltage threshold group consisting of LVT, SVT and HVT devices, where the first and third transistor chains are formed into devices from a voltage threshold group that is different from one another. In another embodiment transistor drive strength may be varied in the transistor chains of the logic block. In yet another embodiment both voltage threshold and drive strength may be varied together in a symmetrical manner.
    • 用于结构化ASIC的通孔可配置逻辑块架构具有通过通孔彼此连接的多个MOSFET晶体管链。 在一个实施例中,存在三条链,第一晶体管链是NFET晶体管链,第二晶体管链是PFET晶体管链,第三晶体管链是NFET晶体管链。 第一,第二和第三晶体管链形成为由从由LVT,SVT和HVT器件组成的电压阈值组中选择的晶体管制成的器件,其中第一和第三晶体管链由电压阈值组形成器件, 彼此不同 在另一个实施例中,晶体管驱动强度可以在逻辑块的晶体管链中变化。 在另一个实施例中,电压阈值和驱动强度可以以对称的方式一起变化。
    • 6. 发明授权
    • Microcontroller controlled or direct mode controlled network-fabric on a structured ASIC
    • 微控制器控制或直接模式控制的网络结构在结构化ASIC上
    • US08677306B1
    • 2014-03-18
    • US13649551
    • 2012-10-11
    • eASIC Corporation
    • Alexander AndreevAndrey NikitinMarian SerbianMassimo Verita
    • G06F11/22G06F17/50
    • G06F11/267
    • A network-fabric used for testing with an external or internal tester is shown for a Structured ASIC. In one embodiment, the Structured ASIC uses a microprocessor, network-aware IO routing fabric comprising network agents in a scalable novel configuration, with the network-aware IO having a plurality of blocks connected in series in a plurality of paths in the fabric leading to and from the microprocessor and memory and/or logic, the blocks acting as intelligent network agents under processor control to determine what state they can assume, whether to pass a data signal or not along these paths, comprising open loops and closed loops running to and from the microprocessor and memory and/or logic, primarily for testing and determining the state of the memory and logic. In another embodiment a JTAG controller may receive JTAG test commands from an external testing apparatus and set up to communicate along the fabric.
    • 结构化ASIC显示了用于外部或内部测试仪测试的网络结构。 在一个实施例中,结构化ASIC使用包括可扩展新颖配置的网络代理的基于网络的IO路由选择结构,网络感知IO具有多个块,其中多个块在结构中的多个路径中串联连接,从而导致 并且从微处理器和存储器和/或逻辑,这些块作为处理器控制下的智能网络代理,以确定它们可以承担什么状态,是否沿着这些路径传递数据信号,包括开环和运行到和 从微处理器和存储器和/或逻辑,主要用于测试和确定存储器和逻辑的状态。 在另一个实施例中,JTAG控制器可以从外部测试装置接收JTAG测试命令,并设置为沿着结构进行通信。
    • 9. 发明申请
    • Via-Configurable High-Performance Logic Block Involving Transistor Chains
    • 通过可配置的高性能逻辑块涉及晶体管链
    • US20140028348A1
    • 2014-01-30
    • US13649510
    • 2012-10-11
    • EASIC CORPORATION
    • Alexander AndreevSergey GribokRanko L. ScepanovicPhey-Chuin TanChee-Wei Kung
    • H03K19/0948G06F17/50
    • H03K19/0948G06F17/505H03K19/17728H03K19/17796
    • A via-configurable logic block architecture for a Structured ASIC has a plurality of MOSFET transistor chains connected to one another through vias. In one embodiment there are three chains and the first transistor chain is a NFET transistor chain, the second transistor chain is a PFET transistor chain, and the third transistor chain is a NFET transistor chain. The first, second and third transistor chains are formed into devices made of transistors that are selected from a voltage threshold group consisting of LVT, SVT and HVT devices, where the first and third transistor chains are formed into devices from a voltage threshold group that is different from one another. In another embodiment transistor drive strength may be varied in the transistor chains of the logic block. In yet another embodiment both voltage threshold and drive strength may be varied together in a symmetrical manner.
    • 用于结构化ASIC的通孔可配置逻辑块架构具有通过通孔彼此连接的多个MOSFET晶体管链。 在一个实施例中,存在三条链,第一晶体管链是NFET晶体管链,第二晶体管链是PFET晶体管链,第三晶体管链是NFET晶体管链。 第一,第二和第三晶体管链形成为由从由LVT,SVT和HVT器件组成的电压阈值组中选择的晶体管制成的器件,其中第一和第三晶体管链由电压阈值组形成器件, 彼此不同 在另一个实施例中,晶体管驱动强度可以在逻辑块的晶体管链中变化。 在另一个实施例中,电压阈值和驱动强度可以以对称的方式一起变化。