会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 1. 发明申请
    • REDUCTION OF LOGIC AND DELAY THROUGH LATCH POLARITY INVERSION
    • 通过锁定极性反转来减少逻辑和延迟
    • US20110173584A1
    • 2011-07-14
    • US12685803
    • 2010-01-12
    • Jonathan Y. ChenJose L. Neves
    • Jonathan Y. ChenJose L. Neves
    • G06F17/50
    • G06F17/505
    • A method for reducing logic and delay within a logic structure that includes searching logic structures to be analyzed, finding a plurality of latches within a logic structure to be analyzed, determining if any respective latches of the plurality of latches have sufficiently positive slack within an input and output path thereof and optionally excluding the respective latches from being analyzed, determining if there is at least one remaining latch to be analyzed, and determining whether inverters are disposed within an input path and an output path of the at least one remaining latch. The method further includes obtaining logic functions of the input path and output path of the at least one remaining latch when inverters are found, modifying the logic functions using DeMorgan's Theorems, determining whether timing violations exist with the modified logic functions, and annotating hardware description language based on the modified logic functions when no timing violations exist.
    • 一种用于减少逻辑结构内的逻辑和延迟的方法,包括搜索待分析的逻辑结构,在要分析的逻辑结构内找到多个锁存器,确定多个锁存器中的任何相应的锁存器是否在输入内具有足够的正的松弛 并且可选地排除相应的锁存器的分析,确定是否存在至少一个待分析的剩余锁存器,以及确定逆变器是否设置在所述至少一个剩余锁存器的输入路径和输出路径内。 该方法还包括:当发现逆变器时获得至少一个剩余锁存器的输入路径和输出路径的逻辑功能,使用DeMorgan's定理修改逻辑功能,确定定时违反是否存在于修改后的逻辑功能上,以及注释硬件描述语言 基于修改的逻辑功能,当没有定时违反时。
    • 6. 发明申请
    • REDUCING REPEATER POWER
    • 降低重复功率
    • US20130275110A1
    • 2013-10-17
    • US13447751
    • 2012-04-16
    • Paul D. KartschokeAdam P. MathenyJose L. Neves
    • Paul D. KartschokeAdam P. MathenyJose L. Neves
    • G06F17/50
    • G06F17/5045G06F17/5031G06F17/5036
    • A method, system and computer-readable medium for reducing repeater power and crosstalk are provided. The method includes generating a model of a circuit including a plurality of original repeaters connected between at least one source and at least one sink, performing a power optimization analysis on the plurality of original repeaters to change the plurality of original repeaters to low-power repeaters based on predetermined optimization parameters, performing a crosstalk analysis on the model of the circuit including the low-power repeaters to determine whether a crosstalk timing violation exists, and changing at least one of the low-power repeaters to a higher-power repeater when it is determined that a crosstalk violation exists, and leaving the low-power repeaters in the model of the circuit when it is determined that a crosstalk violation does not exist.
    • 提供了用于减少中继器功率和串扰的方法,系统和计算机可读介质。 该方法包括生成包括连接在至少一个源和至少一个宿之间的多个原始中继器的电路的模型,对多个原始中继器执行功率优化分析以将多个原始中继器改变为低功率中继器 基于预定的优化参数,对包括低功率中继器的电路的模型执行串扰分析,以确定是否存在串扰定时违反,以及当至少一个低功率中继器改变为较高功率中继器时 确定存在串扰冲突,并且当确定不存在串扰冲突时,将低功率中继器留在电路模型中。
    • 7. 发明授权
    • Reduction of logic and delay through latch polarity inversion
    • 通过锁存极性反转减少逻辑和延迟
    • US08108821B2
    • 2012-01-31
    • US12685803
    • 2010-01-12
    • Jonathan Y. ChenJose L. Neves
    • Jonathan Y. ChenJose L. Neves
    • G06F9/455G06F17/50
    • G06F17/505
    • A method for reducing logic and delay within a logic structure that includes searching logic structures to be analyzed, finding a plurality of latches within a logic structure to be analyzed, determining if any respective latches of the plurality of latches have sufficiently positive slack within an input and output path thereof and optionally excluding the respective latches from being analyzed, determining if there is at least one remaining latch to be analyzed, and determining whether inverters are disposed within an input path and an output path of the at least one remaining latch. The method further includes obtaining logic functions of the input path and output path of the at least one remaining latch when inverters are found, modifying the logic functions using DeMorgan's Theorems, determining whether timing violations exist with the modified logic functions, and annotating hardware description language based on the modified logic functions when no timing violations exist.
    • 一种用于减少逻辑结构内的逻辑和延迟的方法,包括搜索待分析的逻辑结构,在要分析的逻辑结构内找到多个锁存器,确定多个锁存器中的任何相应的锁存器是否在输入内具有足够的正的松弛 并且可选地排除相应的锁存器的分析,确定是否存在至少一个待分析的剩余锁存器,以及确定逆变器是否设置在所述至少一个剩余锁存器的输入路径和输出路径内。 该方法还包括:当发现逆变器时获得至少一个剩余锁存器的输入路径和输出路径的逻辑功能,使用DeMorgan's定理修改逻辑功能,确定定时违反是否存在于修改后的逻辑功能上,以及注释硬件描述语言 基于修改的逻辑功能,当没有定时违反时。
    • 10. 发明授权
    • Reducing repeater power
    • 减少中继器功率
    • US09223918B2
    • 2015-12-29
    • US13447751
    • 2012-04-16
    • Paul D. KartschokeAdam P. MathenyJose L. Neves
    • Paul D. KartschokeAdam P. MathenyJose L. Neves
    • G06F17/50
    • G06F17/5045G06F17/5031G06F17/5036
    • A method, system and computer-readable medium for reducing repeater power and crosstalk are provided. The method includes generating a model of a circuit including a plurality of original repeaters connected between at least one source and at least one sink, performing a power optimization analysis on the plurality of original repeaters to change the plurality of original repeaters to low-power repeaters based on predetermined optimization parameters, performing a crosstalk analysis on the model of the circuit including the low-power repeaters to determine whether a crosstalk timing violation exists, and changing at least one of the low-power repeaters to a higher-power repeater when it is determined that a crosstalk violation exists, and leaving the low-power repeaters in the model of the circuit when it is determined that a crosstalk violation does not exist.
    • 提供了一种用于减少中继器功率和串扰的方法,系统和计算机可读介质。 该方法包括生成包括连接在至少一个源和至少一个宿之间的多个原始中继器的电路的模型,对多个原始中继器执行功率优化分析以将多个原始中继器改变为低功率中继器 基于预定的优化参数,对包括低功率中继器的电路的模型执行串扰分析,以确定是否存在串扰定时违反,以及当至少一个低功率中继器改变为较高功率中继器时 确定存在串扰冲突,并且当确定不存在串扰冲突时,将低功率中继器留在电路模型中。