会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 6. 发明授权
    • Automated rate realization for circuit designs within high level circuit implementation tools
    • 高级电路实现工具中电路设计的自动速率实现
    • US08015537B1
    • 2011-09-06
    • US12468640
    • 2009-05-19
    • Arvind SundararajanNabeel Shirazi
    • Arvind SundararajanNabeel Shirazi
    • G06F17/50
    • G06F17/5054
    • A computer-implemented method of automatic rate realization for implementing a circuit design within a programmable integrated circuit can include comparing data rates of clock domains of the circuit design with frequencies of available clock sources of the circuit design and determining which clock domains have data rates that match frequencies of clock sources. For each clock domain that has a data rate matching a frequency of a clock source, loads of the clock domain can be clocked using a multiple synchronous clock technique with the matching clock source. For each clock domain having a data rate that does not match a frequency of a clock source, loads of the clock domain can be clocked using a clock enable technique. The circuit design specifying the clock circuitry for each clock domain can be output.
    • 用于实现可编程集成电路内的电路设计的计算机实现的方法可以包括将电路设计的时钟域的数据速率与电路设计的可用时钟源的频率进行比较,并确定哪些时钟域具有数据速率 匹配时钟源的频率。 对于具有与时钟源频率匹配的数据速率的每个时钟域,时钟域的负载可以使用具有匹配时钟源的多个同步时钟技术来计时。 对于具有与时钟源的频率不匹配的数据速率的每个时钟域,时钟域的负载可以使用时钟使能技术来计时。 可以输出指定每个时钟域的时钟电路的电路设计。
    • 9. 发明授权
    • Linking untimed data-path and timed control-path models
    • 链接未定义的数据路径和定时控制路径模型
    • US08650019B1
    • 2014-02-11
    • US12695800
    • 2010-01-28
    • Arvind SundararajanChi Bun Chan
    • Arvind SundararajanChi Bun Chan
    • G06F17/50
    • G06F17/5031G06F2217/84
    • Approaches for creating a timed hybrid simulation model for a circuit design specification. An untimed, high-level language (HLL) data-path model is input, along with an HLL data-path interface specification that specifies input ports of the HLL data-path model. A hardware description language (HDL) control-path model that specifies port attributes and associated stitching directives is generated. Each stitching directive specifies a control port and an associated one of the input ports of the HLL data-path model. The HLL data-path and HDL control-path models are linked (314) to create the timed hybrid simulation model, and the timed hybrid simulation model is stored in a processor-readable storage medium.
    • 为电路设计规范创建定时混合仿真模型的方法。 输入未定义的高级语言(HLL)数据路径模型,以及指定HLL数据路径模型的输入端口的HLL数据路径接口规范。 生成指定端口属性和关联拼接指令的硬件描述语言(HDL)控制路径模型。 每个拼接指令指定HLL数据路径模型的控制端口和关联的一个输入端口。 将HLL数据路径和HDL控制路径模型链接(314)以创建定时混合仿真模型,并将定时混合仿真模型存储在处理器可读存储介质中。