会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 5. 发明授权
    • Branch prediction table having pointers identifying other branches
within common instruction cache lines
    • 分支预测表具有标识公共指令高速缓存行内的其他分支的指针
    • US5815700A
    • 1998-09-29
    • US576954
    • 1995-12-22
    • Mircea PoplingherTse-Yu YehWenliang Chen
    • Mircea PoplingherTse-Yu YehWenliang Chen
    • G06F9/38G06F9/32
    • G06F9/3844
    • A branch prediction system is described for use within a microprocessor having an instruction cache capable of storing two or more instructions per cache line. Each entry of a branch prediction table (BPT) includes a value identifying whether at least one other instruction within a common cache line contains a branch. The value is referred to herein as a multiple-B bit value. The multiple-B bit value is examined by branch prediction logic while one branch prediction is being performed to determine whether a second branch prediction can be initiated for another branch within the same cache line. In one implementation, the multiple-B bit of one BPT entry is examined following a hit. A branch prediction for the entry generating a hit is initiated. Simultaneously, the BPT is reaccessed to search for an entry corresponding to another instruction within the same cache line if the multiple-B bit for the first entry was set. If the second entry is found, a secondary branch prediction is initiated. Eventually, the first branch prediction is output. If the first branch prediction is Not Taken, then the second branch prediction is output during the next clock cycle. If the first branch prediction is Taken, then the second branch prediction may be aborted as it is not needed. Method and apparatus embodiments of the invention are described.
    • 描述了一种在具有每个高速缓存行存储两个或多个指令的指令高速缓存器的微处理器内使用的分支预测系统。 分支预测表(BPT)的每个条目包括标识公共高速缓存行中的至少一个其他指令是否包含分支的值。 该值在本文中称为多B位值。 通过分支预测逻辑检查多个B比特值,同时执行一个分支预测以确定是否可以针对同一高速缓存行内的另一个分支启动第二分支预测。 在一个实现中,在命中之后检查一个BPT条目的多个B位。 开始生成命中的条目的分支预测。 同时,如果设置了第一个条目的多个B位,则BPT被重新访问以搜索与同一高速缓存行内的另一个指令相对应的条目。 如果找到第二个条目,则启动辅助分支预测。 最终输出第一个分支预测。 如果第一分支预测未被采用,则在下一个时钟周期期间输出第二分支预测。 如果采用第一分支预测,那么第二分支预测可能因不需要而中止。 描述本发明的方法和设备实施例。
    • 7. 发明授权
    • Method and apparatus for performing reads of related data from a
set-associative cache memory
    • 用于从组相关高速缓冲存储器执行相关数据的读取的方法和装置
    • US5802602A
    • 1998-09-01
    • US785199
    • 1997-01-17
    • Monis RahmanMircea PoplingherTse-Yu YehWenliang Chen
    • Monis RahmanMircea PoplingherTse-Yu YehWenliang Chen
    • G06F9/38G06F12/08G06F1/00
    • G06F9/3806G06F12/0864
    • Allocation circuitry for allocating entries within a set-associative cache memory is disclosed. The set-associative cache memory comprises N ways, each way having M entries and corresponding entries in each of the N ways constituting a set of entries. The allocation circuitry has a first circuit which identifies related data units by identifying a probability that the related data units may be successively read from the cache memory. A second circuit within the allocation circuitry allocates the corresponding entries in each of the ways to the related data units, so that related data units are stored in a common set of entries. Accordingly, the related data units will be simultaneously outputted from the set-associative cache memory, and are thus concurrently available for processing. The invention may find application in allocating entries of a common set in a branch prediction table (BPT) to branch prediction information for related branch instructions.
    • 公开了用于在集合关联高速缓冲存储器内分配条目的分配电路。 集合关联高速缓冲存储器包括N个方式,每个路径具有M个条目和N个路径中的每一个中的相应条目,构成一组条目。 分配电路具有通过识别相关数据单元可以从高速缓冲存储器连续读取的概率来识别相关数据单元的第一电路。 分配电路内的第二电路以相应数据单元的每一种方式分配相应的条目,使得相关的数据单元被存储在一组共同的条目中。 因此,相关数据单元将从集合关联高速缓冲存储器同时输出,因此同时可用于处理。 本发明可以在将分支预测表(BPT)中的公共集合的条目分配给用于相关分支指令的分支预测信息的应用中。
    • 8. 发明授权
    • Target instructions prefetch cache
    • 目标指令预取缓存
    • US5987599A
    • 1999-11-16
    • US827296
    • 1997-03-28
    • Mircea PoplingherTse-Yu Yeh
    • Mircea PoplingherTse-Yu Yeh
    • G06F9/38
    • G06F9/3806
    • A processor that includes an execution pipeline that executes a programmed flow of instructions is provided. The processor also includes an instruction pointer generator configured to generate an instruction pointer. Furthermore, the processor includes a branch prediction circuit configured to receive the instruction pointer. In response to the instruction pointer, the branch prediction circuit is configured to determine if an instruction corresponding to the instruction pointer includes a branch that is predicted taken and if so to provide to said execution pipeline a target instruction corresponding to said instruction. The branch prediction circuit provides to the execution pipeline at least one target instruction corresponding to the instruction corresponding to the instruction pointer.
    • 提供了一种处理器,其包括执行编程的指令流程的执行流水线。 处理器还包括被配置为生成指令指针的指令指针发生器。 此外,处理器包括被配置为接收指令指针的分支预测电路。 响应于指令指针,分支预测电路被配置为确定与指令指针相对应的指令是否包括预测的分支,并且如果是,则向所述执行流水线提供与所述指令相对应的目标指令。 分支预测电路向执行流水线提供对应于与指令指针相对应的指令的至少一个目标指令。
    • 9. 发明授权
    • Dynamic branch prediction for branch instructions with multiple targets
    • 具有多个目标的分支指令的动态分支预测
    • US5903750A
    • 1999-05-11
    • US752785
    • 1996-11-20
    • Tse-Yu YehMircea PoplingherWenliang ChenHans Mulder
    • Tse-Yu YehMircea PoplingherWenliang ChenHans Mulder
    • G06F9/38G06F9/32
    • G06F9/3844
    • A method and apparatus for dynamically predicting the outcome and the tar address of a multiple-target branch instruction, where the multiple-target branch instruction contains at least two potential target addresses, not including the fall through address. In addition, this method and apparatus can also be used to predict multiple single-target branches simultaneously. The apparatus stores information indicating the outcome of previous executions and predictions of the multiple-target branch instruction in a branch prediction table. In addition, multiple target addresses (at least two) are associated with the multiple-target branch instruction. Using the information indicating the outcome of the previous execution of the multiple-target branch instruction, the apparatus predicts the outcome of a next execution of the multiple-target branch instruction, and predicts which, if any, of the target addresses associated with the multiple-target branch instruction, will be taken.
    • 一种用于动态地预测多目标分支指令的结果和目标地址的方法和装置,其中所述多目标分支指令包含不包括所述落地址的至少两个潜在目标地址。 此外,该方法和装置也可以用于同时预测多个单目标分支。 该装置存储指示分支预测表中的先前执行结果和多目标分支指令的预测的信息。 另外,多个目标地址(至少两个)与多目标分支指令相关联。 使用指示多目标分支指令的先前执行的结果的信息,该装置预测下一次执行多目标分支指令的结果,并且预测与多个目标分支指令相关联的目标地址中的哪个(如果有的话) -target分支指令,将被采取。
    • 10. 发明授权
    • Method and apparatus for generating branch predictions for multiple
branch instructions indexed by a single instruction pointer
    • 用于为由单个指令指针索引的多个分支指令生成分支预测的方法和装置
    • US5805878A
    • 1998-09-08
    • US792115
    • 1997-01-31
    • Monis RahmanTse-Yu YehMircea PoplingherCarl C. ScafidiAshish Choubal
    • Monis RahmanTse-Yu YehMircea PoplingherCarl C. ScafidiAshish Choubal
    • G06F9/38G06F9/40
    • G06F9/3806G06F9/3844G06F9/3846
    • A method and apparatus for generating respective branch predictions for first and second branch instructions, both indexed by a first instruction pointer, is disclosed. The apparatus includes dynamic branch prediction circuitry for generating a branch prediction based on the outcome of previous branch resolution activity, as well as static branch prediction circuitry configured to generate a branch prediction based on static branch prediction information. Prediction output circuitry, coupled to the both the dynamic and static branch prediction circuitry, outputs the respective branch predictions for the first and second branch instructions in first and second clock cycles to an instruction buffer (or "rotator"). Specifically, the prediction output control circuitry outputs the branch prediction for the second branch instruction in the second clock cycle and in response to the initiation of a recycle stall during the first clock cycle. The receipt of the predictions and their corresponding branch instructions at the instruction buffer is thus synchronized, and the opportunity of generating a prediction for the second branch instruction is not lost.
    • 公开了一种用于产生由第一指令指针索引的第一和第二分支指令的分支预测的方法和装置。 该装置包括用于基于先前分支分辨率活动的结果生成分支预测的动态分支预测电路,以及被配置为基于静态分支预测信息生成分支预测的静态分支预测电路。 耦合到动态和静态分支预测电路的预测输出电路将第一和第二时钟周期中的第一和第二分支指令的相应分支预测输出到指令缓冲器(或“转子”)。 具体地,预测输出控制电路在第二时钟周期中输出第二分支指令的分支预测,并且响应于在第一时钟周期期间启动再循环停止。 因此,在指令缓冲器处接收预测及其相应的分支指令是同步的,并且不会丢失产生第二分支指令的预测的机会。