会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 74. 发明申请
    • LITHOGRAPHY USING SELF-ASSEMBLED POLYMERS
    • 使用自组装聚合物的光刻
    • US20130140272A1
    • 2013-06-06
    • US13816720
    • 2011-07-21
    • Roelof KooleJohan Frederik DijksmanSander Frederik WuisterEmiel Peeters
    • Roelof KooleJohan Frederik DijksmanSander Frederik WuisterEmiel Peeters
    • B44C1/22
    • B44C1/227B81C1/00031B81C2201/0149B81C2201/0198G03F7/0002H01L21/0337
    • A method of lithography on a substrate uses a self-assembled polymer (SAP) layer deposited on the substrate, with first and second domains arranged in a pattern across the layer. A planarization layer is formed over the SAP and a development etch applied to substantially remove a portion of the planarization layer over the second domain leaving a cap of the planarization layer substantially covering the first domain. The uncapped second domain is then removed from the surface by a breakthrough etch leaving the capped first domain as a pattern feature on the surface. A transfer etch may then be used to transfer the pattern feature to the substrate using the capped first domain. The capping allows the second domain to be removed, e.g., without excessive loss of lateral feature width for the remaining first domain, even when the difference in etch resistance between the first and second domains is small.
    • 衬底上的光刻方法使用沉积在衬底上的自组装聚合物(SAP)层,其中第一和第二畴以整个层的图案排列。 平坦化层形成在SAP之上,并且显影蚀刻被施加以在第二域上基本上去除平坦化层的一部分,留下平坦化层的盖基本覆盖第一域。 然后通过穿透蚀刻从表面除去未封端的第二结构域,留下封盖的第一结构域作为表面上的图案特征。 然后可以使用转移蚀刻来使用封盖的第一结构域将图案特征转移到衬底。 封盖允许除去第二结构域,例如,即使当第一和第二畴之间的耐蚀刻性差异小时,也不会对剩余的第一区域的横向特征宽度过度损失。
    • 75. 发明授权
    • Lithography using self-assembled polymers
    • 使用自组装聚合物进行平版印刷
    • US08828253B2
    • 2014-09-09
    • US13816720
    • 2011-07-21
    • Roelof KooleJohan Frederik DijksmanSander Frederik WuisterEmiel Peeters
    • Roelof KooleJohan Frederik DijksmanSander Frederik WuisterEmiel Peeters
    • B44C1/22B81C1/00H01L21/033
    • B44C1/227B81C1/00031B81C2201/0149B81C2201/0198G03F7/0002H01L21/0337
    • A method of lithography on a substrate uses a self-assembled polymer (SAP) layer deposited on the substrate, with first and second domains arranged in a pattern across the layer. A planarization layer is formed over the SAP and a development etch applied to substantially remove a portion of the planarization layer over the second domain leaving a cap of the planarization layer substantially covering the first domain. The uncapped second domain is then removed from the surface by a breakthrough etch leaving the capped first domain as a pattern feature on the surface. A transfer etch may then be used to transfer the pattern feature to the substrate using the capped first domain. The capping allows the second domain to be removed, e.g., without excessive loss of lateral feature width for the remaining first domain, even when the difference in etch resistance between the first and second domains is small.
    • 衬底上的光刻方法使用沉积在衬底上的自组装聚合物(SAP)层,其中第一和第二畴以整个层的图案排列。 平坦化层形成在SAP之上,并且显影蚀刻被施加以在第二域上基本上去除平坦化层的一部分,留下平坦化层的盖基本覆盖第一域。 然后通过穿透蚀刻从表面除去未封端的第二结构域,留下封盖的第一结构域作为表面上的图案特征。 然后可以使用转移蚀刻来使用封盖的第一结构域将图案特征转移到衬底。 封盖允许除去第二结构域,例如即使当第一和第二畴之间的耐蚀刻性差异小时,也不会对剩余的第一区域的横向特征宽度过度损失。
    • 80. 发明授权
    • Self-assemblable polymer and method for use in lithography
    • 自组装聚合物和用于光刻的方法
    • US08921032B2
    • 2014-12-30
    • US13700703
    • 2011-04-20
    • Emiel PeetersSander Frederik WuisterRoelof Koole
    • Emiel PeetersSander Frederik WuisterRoelof Koole
    • G03F7/004G03F7/20G03F7/40
    • C08F293/00B05D5/00B82Y10/00B82Y30/00B82Y40/00C08F297/04G03F7/0002G03F7/004G03F7/165G03F7/26
    • A self-assemblable polymer is disclosed, having first and second molecular configurations with the first molecular configuration has a higher Flory Huggins parameter for the self-assemblable polymer than the second molecular configuration, and the self-assemblable polymer is configurable from the first molecular configuration to the second molecular configuration, from the second molecular configuration to the first molecular configuration, or both, by the application of a stimulus. The polymer is of use in a method for providing an ordered, periodically patterned layer of the polymer on a substrate, by ordering and annealing the polymer in its second molecular configuration and setting the polymer when it is in the first molecular configuration. The second molecular configuration provides better ordering kinetics and permits annealing of defects near its order/disorder transition temperature, while the first molecular configuration, with a higher order/disorder transition temperature, provides low line edge/width roughness for the pattern formed on setting.
    • 公开了具有第一和第二分子构型的自组装聚合物,其具有第一分子构型,其具有比第二分子构型更高的可自组装聚合物的Flory Huggins参数,并且可自组装聚合物可从第一分子构型 到第二分子构型,从第二分子构型到第一分子构型,或两者都通过施加刺激。 聚合物可用于通过在其第二分子构型中排列和退火聚合物并在聚合物处于第一分子构型时设置聚合物,从而在基底上提供聚合物的有序周期性图案化层的方法。 第二种分子结构提供更好的排序动力学,并允许在其顺序/无序转变温度附近的缺陷退火,而具有较高阶/无序转变温度的第一分子构型为设置上形成的图案提供了低的线边缘/宽度粗糙度。