会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 1. 发明申请
    • METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE
    • 制造半导体器件的方法
    • US20120164808A1
    • 2012-06-28
    • US13129419
    • 2011-02-17
    • Huaxiang YinQiuxia XuGaobo XuLingkuan MengTao YangDapeng Chen
    • Huaxiang YinQiuxia XuGaobo XuLingkuan MengTao YangDapeng Chen
    • H01L21/336
    • H01L21/823842H01L21/31055H01L21/31116H01L21/76819H01L29/66545H01L29/78
    • A method for manufacturing a semiconductor device includes the steps of: forming a first gate stack on a semiconductor substrate, the first gate stack includes a first gate conductor and a first gate dielectric between the first gate conductor and the semiconductor substrate; forming source/drain regions on the semiconductor substrate; forming a multilayer structure including at least one sacrificial layer and at least one insulating layer under the sacrificial layer on the semiconductor substrate and the first gate stack; performing a first RIE on the multilayer structure; performing a second RIE on the multilayer structure; selectively etching the first gate stack with respect to the insulating layer, in which the first gate conductor is removed and an opening is formed in the insulating layer; and forming a second gate conductor in the opening.
    • 一种制造半导体器件的方法包括以下步骤:在半导体衬底上形成第一栅极叠层,第一栅叠层在第一栅极导体和半导体衬底之间包括第一栅极导体和第一栅极电介质; 在半导体衬底上形成源/漏区; 在所述半导体衬底和所述第一栅极叠层上形成包括至少一个牺牲层和所述牺牲层下方的至少一个绝缘层的多层结构; 在所述多层结构上执行第一RIE; 在所述多层结构上执行第二RIE; 相对于绝缘层选择性地蚀刻第一栅极叠层,其中去除第一栅极导体并在绝缘层中形成开口; 以及在所述开口中形成第二栅极导体。
    • 2. 发明授权
    • Method for manufacturing semiconductor device
    • 制造半导体器件的方法
    • US08324061B2
    • 2012-12-04
    • US13129419
    • 2011-02-17
    • Huaxiang YinQiuxia XuGaobo XuLingkuan MengTao YangDapeng Chen
    • Huaxiang YinQiuxia XuGaobo XuLingkuan MengTao YangDapeng Chen
    • H01L21/336
    • H01L21/823842H01L21/31055H01L21/31116H01L21/76819H01L29/66545H01L29/78
    • A method for manufacturing a semiconductor device includes the steps of: forming a first gate stack on a semiconductor substrate, the first gate stack includes a first gate conductor and a first gate dielectric between the first gate conductor and the semiconductor substrate; forming source/drain regions on the semiconductor substrate; forming a multilayer structure including at least one sacrificial layer and at least one insulating layer under the sacrificial layer on the semiconductor substrate and the first gate stack; performing a first RIE on the multilayer structure; performing a second RIE on the multilayer structure; selectively etching the first gate stack with respect to the insulating layer, in which the first gate conductor is removed and an opening is formed in the insulating layer; and forming a second gate conductor in the opening.
    • 一种制造半导体器件的方法包括以下步骤:在半导体衬底上形成第一栅极叠层,第一栅叠层在第一栅极导体和半导体衬底之间包括第一栅极导体和第一栅极电介质; 在半导体衬底上形成源/漏区; 在所述半导体衬底和所述第一栅极叠层上形成包括至少一个牺牲层和所述牺牲层下方的至少一个绝缘层的多层结构; 在所述多层结构上执行第一RIE; 在所述多层结构上执行第二RIE; 相对于绝缘层选择性地蚀刻第一栅极叠层,其中去除第一栅极导体并在绝缘层中形成开口; 以及在所述开口中形成第二栅极导体。
    • 3. 发明申请
    • METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE
    • 制造半导体器件的方法
    • US20150170974A1
    • 2015-06-18
    • US14355919
    • 2012-12-07
    • Qiuxia XuHuilong ZhuGaobo XuHuajie ZhouDapeng Chen
    • Qiuxia XuHuilong ZhuGaobo XuHuajie ZhouDapeng Chen
    • H01L21/8238H01L21/28H01L21/3105H01L21/321H01L21/324H01L29/167H01L21/266H01L29/66H01L29/51H01L29/423H01L29/49H01L21/3213H01L21/02
    • H01L21/823857H01L21/0228H01L21/0254H01L21/266H01L21/28141H01L21/28176H01L21/31051H01L21/3212H01L21/3213H01L21/324H01L21/823842H01L29/167H01L29/42364H01L29/42372H01L29/4966H01L29/517H01L29/66545H01L29/78
    • A method for manufacturing a semiconductor device, comprising: defining an active region on the semiconductor substrate; forming an interfacial oxide layer on a surface of the semiconductor substrate; forming a high-K gate dielectric on the interfacial oxide layer; forming a first metal gate layer on the high-K gate dielectric; forming a dummy gate layer on the first metal gate layer; patterning the dummy gate layer, the first metal gate layer, the high-K gate dielectric and the interfacial oxide layer to form a gate stack structure; forming a gate spacer surrounding the gate stack structure; forming S/D regions for NMOS and PMOS respectively; depositing interlayer dielectric and planarization by CMP to expose the surface of dummy gate layer; removing the dummy gate layer so as to form a gate opening; implanting dopant ions into the first metal gate layer; forming a second metal gate layer on the first metal gate layer so as to fill the gate opening; and performing annealing, so that the dopant ions diffuse and accumulate at an upper interface between the high-K gate dielectric and the first metal gate layer and at a lower interface between the high-K gate dielectric and the interfacial oxide layer, and electric dipoles are generated by interfacial reaction at the lower interface between the high-K gate dielectric and the interfacial oxide layer.
    • 一种制造半导体器件的方法,包括:在所述半导体衬底上限定有源区; 在所述半导体衬底的表面上形成界面氧化物层; 在界面氧化物层上形成高K栅电介质; 在高K栅极电介质上形成第一金属栅极层; 在所述第一金属栅极层上形成伪栅极层; 图案化虚拟栅极层,第一金属栅极层,高K栅极电介质和界面氧化物层以形成栅极堆叠结构; 形成围绕所述栅堆叠结构的栅极间隔; 分别形成NMOS和PMOS的S / D区域; 通过CMP沉积层间电介质和平面化以暴露虚拟栅极层的表面; 去除虚拟栅极层以形成栅极开口; 将掺杂剂离子注入到第一金属栅极层中; 在所述第一金属栅极层上形成第二金属栅极层以填充所述栅极开口; 并执行退火,使得掺杂剂离子在高K栅极电介质和第一金属栅极层之间以及高K栅极电介质和界面氧化物层之间的下界面处的上界面处扩散并积聚,并且电偶极子 通过界面反应在高K栅极电介质和界面氧化物层之间的下界面产生。
    • 5. 发明申请
    • METHOD FOR INTEGRATING REPLACEMENT GATE IN SEMICONDUCTOR DEVICE
    • 用于在半导体器件中集成更换栅的方法
    • US20130005097A1
    • 2013-01-03
    • US13379169
    • 2011-08-02
    • Gaobo XuQiuxia Xu
    • Gaobo XuQiuxia Xu
    • H01L21/8238H01L21/336B82Y40/00
    • H01L29/66545H01L21/823842
    • A method for integrating a replacement gate in a semiconductor device is disclosed. The method may comprise: forming a well region on a semiconductor substrate, and defining a N-type device region and/or a P-type device region; forming a sacrificial gate stack or sacrificial gate stacks respectively on the N-type device region and/or the P-type device region, the sacrificial gate stack or each of the sacrificial gate stacks comprising a sacrificial gate dielectric layer and a sacrificial gate electrode layer, wherein the sacrificial gate dielectric layer is disposed on the semiconductor substrate, and the sacrificial gate electrode layer is disposed on the sacrificial gate dielectric layer; forming a spacer or spacers surrounding the sacrificial gate stack or the respective sacrificial gate stacks; forming source/drain regions on both sides of the sacrificial gate stack or the respective sacrificial gate stacks and embedded into the semiconductor substrate; forming a SiO2 layer on the semiconductor substrate; forming a SOG layer on the SiO2 layer; etching the SOG layer until the SiO2 layer is exposed; etching the SOG layer and the SiO2 layer at different rates in such a manner that the SiO2 layer is planarized; and forming a N-type replacement gate stack on the N-type device region and/or a P-type replacement gate stack on the P-type device region, respectively.
    • 公开了一种在半导体器件中集成置换栅极的方法。 该方法可以包括:在半导体衬底上形成阱区,并且限定N型器件区和/或P型器件区; 在N型器件区域和/或P型器件区域上分别形成牺牲栅极堆叠或牺牲栅极堆叠,牺牲栅极堆叠或每个牺牲栅极堆叠包括牺牲栅极电介质层和牺牲栅极电极层 其中所述牺牲栅极电介质层设置在所述半导体衬底上,并且所述牺牲栅极电极层设置在所述牺牲栅极电介质层上; 形成围绕所述牺牲栅极叠层或相应的牺牲栅极叠层的间隔物或间隔物; 在牺牲栅极堆叠或相应的牺牲栅极堆叠的两侧上形成源极/漏极区域并嵌入到半导体衬底中; 在所述半导体衬底上形成SiO 2层; 在SiO 2层上形成SOG层; 蚀刻SOG层直到暴露SiO 2层; 以使SiO 2层平坦化的方式以不同的速率蚀刻SOG层和SiO 2层; 以及分别在P型器件区域上的N型器件区域和/或P型替换栅极堆叠上形成N型替换栅极堆叠。
    • 6. 发明申请
    • METHOD FOR TUNING THE WORK FUNCTION OF A METAL GATE OF THE PMOS DEVICE
    • 用于调谐PMOS器件的金属栅的工作功能的方法
    • US20110256701A1
    • 2011-10-20
    • US12990735
    • 2010-06-28
    • Qiuxia XuGaobo Xu
    • Qiuxia XuGaobo Xu
    • H01L21/28
    • H01L29/4966H01L21/28088H01L21/28176H01L21/28202H01L21/32136H01L21/3215H01L21/823828H01L21/823842H01L29/513H01L29/517
    • The present application discloses a method for tuning the work function of a metal gate of the PMOS device, comprising the steps of depositing a layer of metal nitride or a metal on a layer of high-k gate dielectric by physical vapor deposition (PVD), as a metal gate; doping the metal gate with dopants such as Al, Pt, Ru, Ga, Ir by ion implantation; and driving the doped metal ions to the interface between the high-k gate dielectric and interfacial SiO2 by high-temperature annealing so that the doped metal ions accumulate at the interface or generate dipoles by interfacial reaction, which in turn tunes the work function of the metal gate. The inventive method can be widely used and its process is simple and convenient, has a better ability of tuning the work function of the metal gate, and is compatible with the conventional CMOS process.
    • 本申请公开了一种用于调谐PMOS器件的金属栅极的功函数的方法,包括以下步骤:通过物理气相沉积(PVD)将金属氮化物或金属层沉积在高k栅极电介质层上, 作为金属门; 通过离子注入对诸如Al,Pt,Ru,Ga,Ir的掺杂剂掺杂金属栅; 并通过高温退火将掺杂的金属离子驱动到高k栅极电介质和界面SiO 2之间的界面,使得掺杂的金属离子在界面处积累或通过界面反应产生偶极子,这进而调节了 金属门。 本发明的方法可以广泛使用,其工艺简单方便,具有更好的调谐金属栅极功能的能力,并且与传统的CMOS工艺兼容。
    • 7. 发明授权
    • Method for manufacturing CMOS FET
    • 制造CMOS FET的方法
    • US08530302B2
    • 2013-09-10
    • US13576658
    • 2011-11-22
    • Qiuxia XuYongliang LiGaobo Xu
    • Qiuxia XuYongliang LiGaobo Xu
    • H01L21/8238H01L21/4763
    • H01L21/8238H01L21/28185H01L21/823842H01L21/823857H01L29/513H01L29/517H01L29/518
    • A method for manufacturing a CMOS FET comprises forming a first interfacial SiO2 layer on a semiconductor substrate after formation a conventional dielectric isolation; forming a stack a first high-K gate dielectric/a first metal gate; depositing a first hard mask; patterning the first hard mask by lithography and etching; etching the portions of the first metal gate and the first high-K gate dielectric that are not covered by the first hard mask. A second interfacial SiO2 layer and a stack of a second high-K gate dielectric/a second metal gate are then formed; a second hard mask is deposited and patterned by lithograph and etching; the portions of the second metal gate and the second high-K gate dielectric that are not covered by the second hard mask are etched to expose the first hard mask on the first metal gate. The first hard mask and the second hard mask are removed by etching; a polysilicon layer and a third hard mask are deposited and patterned by lithography and etching to form a gate stack; a dielectric layer is deposited and etched to form first spacers. Source/drain regions and their extensions are then formed by a conventional process, and silicides are formed by silicidation to provide contact and metallization.
    • 制造CMOS FET的方法包括在形成常规介电隔离之后在半导体衬底上形成第一界面SiO 2层; 形成堆叠第一高K栅极电介质/第一金属栅极; 沉积第一个硬掩模; 通过光刻和蚀刻图案化第一硬掩模; 蚀刻未被第一硬掩模覆盖的第一金属栅极和第一高K栅极电介质的部分。 然后形成第二界面SiO 2层和第二高K栅极电介质/第二金属栅极的叠层; 通过光刻和蚀刻沉积和图案化第二个硬掩模; 蚀刻未被第二硬掩模覆盖的第二金属栅极和第二高K栅极电介质的部分以露出第一金属栅极上的第一硬掩模。 通过蚀刻去除第一硬掩模和第二硬掩模; 通过光刻和蚀刻沉积多晶硅层和第三硬掩模并图案化以形成栅叠层; 沉积和蚀刻电介质层以形成第一间隔物。 然后通过常规工艺形成源极/漏极区及其延伸,并且通过硅化物形成硅化物以提供接触和金属化。
    • 8. 发明授权
    • Method for integration of dual metal gates and dual high-K dielectrics in CMOS devices
    • 在CMOS器件中集成双金属栅极和双高K电介质的方法
    • US08748250B2
    • 2014-06-10
    • US13129743
    • 2011-02-21
    • Qiuxia XuGaobo Xu
    • Qiuxia XuGaobo Xu
    • H01L21/8238
    • H01L21/823857H01L21/823842
    • The present invention provides a method for integrating the dual metal gates and the dual gate dielectrics into a CMOS device, comprising: growing an ultra-thin interfacial oxide layer or oxynitride layer by rapid thermal oxidation; forming a high-k gate dielectric layer on the ultra-thin interfacial oxide layer by physical vapor deposition; performing a rapid thermal annealing after the deposition of the high-k; depositing a metal nitride gate by physical vapor deposition; doping the metal nitride gate by ion implantation with P-type dopants for a PMOS device, and with N-type dopants for an NMOS device, with a photoresist layer as a mask; depositing a polysilicon layer and a hard mask by a low pressure CVD process, and then performing photolithography process and etching the hard mask; removing the photoresist, and then etching the polysilicon layer/the metal gate/the high-k dielectric layer sequentially to provide a metal gate stack; forming a first spacer, and performing ion implantation with a low energy and a large angle for source/drain extensions; forming a second spacer, and performing ion implantation for source/drain regions; performing a thermal annealing so as to adjust of the metal gate work functions for the NMOS and PMOS devices, respectively, in the course when the dopants in the source/drain regions are activated.
    • 本发明提供了一种用于将双金属栅极和双栅极电介质集成到CMOS器件中的方法,包括:通过快速热氧化生长超薄界面氧化物层或氧氮化物层; 通过物理气相沉积在超薄界面氧化物层上形成高k栅介质层; 在沉积高k后进行快速热退火; 通过物理气相沉积沉积金属氮化物栅极; 通过用于PMOS器件的P型掺杂剂和用于NMOS器件的N型掺杂剂通过离子注入来掺杂金属氮化物栅极,其中光致抗蚀剂层作为掩模; 通过低压CVD工艺沉积多晶硅层和硬掩模,然后进行光刻工艺并蚀刻硬掩模; 去除光致抗蚀剂,然后依次蚀刻多晶硅层/金属栅极/高k电介质层以提供金属栅极叠层; 形成第一间隔物,并以低能量和大角度对源/漏延伸进行离子注入; 形成第二间隔物,并对源/漏区进行离子注入; 在源极/漏极区域中的掺杂剂被激活的过程中,分别进行热退火以调整用于NMOS和PMOS器件的金属栅极功函数。
    • 9. 发明申请
    • METHOD FOR MANUFACTURING N-TYPE MOSFET
    • 制造N型MOSFET的方法
    • US20140154853A1
    • 2014-06-05
    • US13878046
    • 2012-12-07
    • Qiuxia XuHuilong ZhuHuajie ZhouGaobo Xu
    • Qiuxia XuHuilong ZhuHuajie ZhouGaobo Xu
    • H01L29/66
    • H01L21/28176H01L21/28088H01L29/4966H01L29/513H01L29/517H01L29/518H01L29/66545
    • The present disclosure discloses a method for manufacturing an N-type MOSFET, comprising: forming a part of the MOSFET on a semiconductor substrate, the part of the MOSFET comprising source/drain regions in the semiconductor substrate, a replacement gate stack between the source/drain regions above the semiconductor substrate, and a gate spacer surrounding the replacement gate stack; removing the replacement gate stack of the MOSFET to form a gate opening exposing a surface of the semiconductor substrate; forming an interface oxide layer on the exposed surface of the semiconductor; forming a high-K gate dielectric layer on the interface oxide layer in the gate opening; forming a first metal gate layer on the high-K gate dielectric layer; implanting dopant ions into the first metal gate layer; and performing annealing to cause the dopant ions to diffuse and accumulate at an upper interface between the high-K gate dielectric layer and the first metal gate layer and a lower interface between the high-K gate dielectric layer and the interface oxide layer, and also to generate electric dipoles by interfacial reaction at the lower interface between the high-K gate dielectric layer and the interface oxide layer.
    • 本公开公开了一种用于制造N型MOSFET的方法,包括:在半导体衬底上形成MOSFET的一部分,所述MOSFET的部分包括半导体衬底中的源极/漏极区,源/ 在半导体衬底之上的漏极区域和围绕替换栅极堆叠的栅极间隔; 去除MOSFET的替换栅极堆叠以形成暴露半导体衬底的表面的栅极开口; 在所述半导体的暴露表面上形成界面氧化物层; 在栅极开口中的界面氧化物层上形成高K栅极电介质层; 在高K栅极电介质层上形成第一金属栅极层; 将掺杂剂离子注入到第一金属栅极层中; 并且进行退火以使掺杂剂离子在高K栅极介电层和第一金属栅极层之间的上部界面以及高K栅极介电层和界面氧化物层之间的下部界面处扩散和积聚,并且还 通过界面反应在高K栅极介电层和界面氧化物层之间的下界面产生电偶极子。