会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 1. 发明授权
    • Autonomic computing utilizing a sequestered processing resource on a host CPU
    • 使用主机CPU上的隔离处理资源进行自动计算
    • US07406583B2
    • 2008-07-29
    • US10877483
    • 2004-06-25
    • Ulhas WarrierRajesh S. Madukkarumukumana
    • Ulhas WarrierRajesh S. Madukkarumukumana
    • G06F9/00G06F13/00
    • H04L63/0218G06F21/53G06F2221/2105
    • An autonomic computing environment is provided by sequestering one of a plurality of processor resources, partitioning a memory, and hiding an input/output (I/O) device. One processor resource is sequestered such that the sequestered processor resource is not exposed to the remaining processor resources as a processor resource. A memory region is partitioned to provide a service processing portion such that the sequestered processor resource has access to all of the memory region and the remaining processor resources have access to at least a portion of the memory region but do not have access to the service processing portion. A first I/O device is hidden such that the sequestered processor resource has access to the first I/O device and the remaining processor resources do not have access to the first I/O device.
    • 通过隔离多个处理器资源之一,分割存储器以及隐藏输入/输出(I / O)设备来提供自主计算环境。 一个处理器资源被隔离,使得隔离的处理器资源不作为处理器资源暴露于剩余的处理器资源。 存储器区域被分割以提供服务处理部分,使得隔离的处理器资源可以访问所有的存储器区域,并且剩余的处理器资源可以访问存储器区域的至少一部分,但是不能访问服务处理 一部分。 第一个I / O设备被隐藏,使得隔离的处理器资源可以访问第一个I / O设备,其余的处理器资源不能访问第一个I / O设备。
    • 7. 发明授权
    • Credit based flow control scheme over virtual interface architecture for system area networks
    • 基于信用的流量控制方案,用于系统区域网络的虚拟接口架构
    • US06347337B1
    • 2002-02-12
    • US09377914
    • 1999-08-20
    • Hemal V. ShahRajesh S. Madukkarumukumana
    • Hemal V. ShahRajesh S. Madukkarumukumana
    • G06F1300
    • H04L47/10
    • A transport service provider provides a credit-based flow control scheme and is designed to operate over VI Architecture. The credit-based flow control scheme reduces overhead (e.g., reduces buffer copies and kernel processing) by relying upon the reliability properties of the underlying VI Architecture. If the number of send credits is sufficient, then the sender prepares and sends the packet. Otherwise, the sender sends a Credit Request and waits for a Credit Response. Upon receiving the corresponding Credit Response, the sender continues sending data packets. In response to a sender's Credit Request, the receiver sends the Credit Response only when it has enough receive credits (above a threshold value or low water mark).
    • 运输服务提供商提供基于信用的流量控制方案,旨在运行于VI架构。 基于信用的流量控制方案通过依赖于基础VI架构的可靠性特性来减少开销(例如,减少缓冲区副本和内核处理)。 如果发送信用次数足够,则发送者准备并发送数据包。 否则,发送方发送信用请求并等待信用响应。 收到相应的信用响应后,发送方继续发送数据包。 响应发信人的信用申请,接收方只有在有足够的接收信用(高于阈值或低水位)时才发送信用响应。