会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 4. 发明授权
    • Branch misprediction recovery mechanism for microprocessors
    • 微处理器分支错误预测恢复机制
    • US08099586B2
    • 2012-01-17
    • US12346349
    • 2008-12-30
    • Yuan C. ChouRobert T. GollaMark A. LuttrellPaul J. JordanManish Shah
    • Yuan C. ChouRobert T. GollaMark A. LuttrellPaul J. JordanManish Shah
    • G06F9/00
    • G06F9/3844G06F9/3863
    • A system and method for reducing branch misprediction penalty. In response to detecting a mispredicted branch instruction, circuitry within a microprocessor identifies a predetermined condition prior to retirement of the branch instruction. Upon identifying this condition, the entire corresponding pipeline is flushed prior to retirement of the branch instruction, and instruction fetch is started at a corresponding address of an oldest instruction in the pipeline immediately prior to the flushing of the pipeline. The correct outcome is stored prior to the pipeline flush. In order to distinguish the mispredicted branch from other instructions, identification information may be stored alongside the correct outcome. One example of the predetermined condition being satisfied is in response to a timer reaching a predetermined threshold value, wherein the timer begins incrementing in response to the mispredicted branch detection and resets at retirement of the mispredicted branch.
    • 减少分支误判处罚的系统和方法。 响应于检测到错误的分支指令,微处理器内的电路在退出分支指令之前识别预定的条件。 在识别该条件之后,在分支指令退出之前将整个对应的流水线冲洗,并且在冲洗流水线之前在管道中的最早的指令的对应地址开始指令提取。 在管道冲洗之前存储正确的结果。 为了将错误预测的分支与其他指令区分开,识别信息可以与正确的结果一起存储。 满足预定条件的一个示例是响应于定时器达到预定阈值,其中定时器响应于错误预测的分支检测而开始递增,并且在退出预测分支时重置。
    • 6. 发明授权
    • System and method to manage address translation requests
    • 管理地址转换请求的系统和方法
    • US08301865B2
    • 2012-10-30
    • US12493941
    • 2009-06-29
    • Gregory F. GrohoskiPaul J. JordanMark A. LuttrellZeid Hartuon SamoailRobert T. Golla
    • Gregory F. GrohoskiPaul J. JordanMark A. LuttrellZeid Hartuon SamoailRobert T. Golla
    • G06F12/00G06F9/26G06F9/34
    • G06F12/1027G06F2212/684
    • A system and method for servicing translation lookaside buffer (TLB) misses may manage separate input and output pipelines within a memory management unit. A pending request queue (PRQ) in the input pipeline may include an instruction-related portion storing entries for instruction TLB (ITLB) misses and a data-related portion storing entries for potential or actual data TLB (DTLB) misses. A DTLB PRQ entry may be allocated to each load/store instruction selected from the pick queue. The system may select an ITLB- or DTLB-related entry for servicing dependent on prior PRQ entry selection(s). A corresponding entry may be held in a translation table entry return queue (TTERQ) in the output pipeline until a matching address translation is received from system memory. PRQ and/or TTERQ entries may be deallocated when a corresponding TLB miss is serviced. PRQ and/or TTERQ entries associated with a thread may be deallocated in response to a thread flush.
    • 用于服务翻译后备缓冲器(TLB)的系统和方法可以管理存储器管理单元内的单独的输入和输出管线。 输入流水线中的未决请求队列(PRQ)可以包括存储用于指令TLB(ITLB)未命中的条目的指令相关部分和存储潜在或实际数据TLB(DTLB)丢失的条目的数据相关部分。 可以将DTLB PRQ条目分配给从拾取队列中选择的每个加载/存储指令。 系统可以根据先前的PRQ条目选择来选择与ITLB或DTLB相关的条目进行服务。 相应的条目可以保存在输出流水线中的转换表条目返回队列(TTERQ)中,直到从系统存储器接收到匹配的地址转换。 当服务对应的TLB未命中时,PRQ和/或TTERQ条目可以被释放。 与线程相关联的PRQ和/或TTERQ条目可以响应于线程刷新而被释放。
    • 7. 发明申请
    • BRANCH MISPREDICTION RECOVERY MECHANISM FOR MICROPROCESSORS
    • 用于微处理器的分支机构故障恢复机制
    • US20100169611A1
    • 2010-07-01
    • US12346349
    • 2008-12-30
    • Yuan C. ChouRobert T. GollaMark A. LuttrellPaul J. JordanManish Shah
    • Yuan C. ChouRobert T. GollaMark A. LuttrellPaul J. JordanManish Shah
    • G06F9/312
    • G06F9/3844G06F9/3863
    • A system and method for reducing branch misprediction penalty. In response to detecting a mispredicted branch instruction, circuitry within a microprocessor identifies a predetermined condition prior to retirement of the branch instruction. Upon identifying this condition, the entire corresponding pipeline is flushed prior to retirement of the branch instruction, and instruction fetch is started at a corresponding address of an oldest instruction in the pipeline immediately prior to the flushing of the pipeline. The correct outcome is stored prior to the pipeline flush. In order to distinguish the mispredicted branch from other instructions, identification information may be stored alongside the correct outcome. One example of the predetermined condition being satisfied is in response to a timer reaching a predetermined threshold value, wherein the timer begins incrementing in response to the mispredicted branch detection and resets at retirement of the mispredicted branch.
    • 减少分支误判处罚的系统和方法。 响应于检测到错误的分支指令,微处理器内的电路在退出分支指令之前识别预定的条件。 在识别该条件之后,在分支指令退出之前将整个对应的流水线冲洗,并且在冲洗流水线之前在管道中的最早的指令的对应地址开始指令提取。 在管道冲洗之前存储正确的结果。 为了将错误预测的分支与其他指令区分开,识别信息可以与正确的结果一起存储。 满足预定条件的一个示例是响应于定时器达到预定阈值,其中定时器响应于错误预测的分支检测而开始递增,并且在退出预测分支时重置。
    • 8. 发明授权
    • Handling cache misses by selectively flushing the pipeline
    • 通过选择性地冲洗管道来处理高速缓存未命中
    • US07509484B1
    • 2009-03-24
    • US10882807
    • 2004-06-30
    • Robert T. GollaMark A. Luttrell
    • Robert T. GollaMark A. Luttrell
    • G06F9/30G06F9/40G06F9/00
    • G06F9/3851G06F9/3802G06F9/3824G06F9/3826G06F9/3834G06F9/3861G06F9/3867
    • An apparatus and method for efficiently managing data cache load misses is described in connection with a multithreaded, pipelined multiprocessor chip. A CMT processor keeps track of load misses for each thread by issuing a load miss signal each time a load instruction to the data cache misses. A detection logic functionality in the IFU responds the load miss signal to determine if a valid instruction from the thread is at the one of the pipeline stages. If no instructions from the thread are detected in the pipeline, then no flush is required and the thread is placed in a wait state until the requested data is returned from higher order memory. If any instruction from the thread is detected in the pipeline, the thread is flushed and the instruction is re-fetched.
    • 结合多线程流水线多处理器芯片描述了一种有效管理数据高速缓存加载缺失的装置和方法。 CMT处理器通过在每次向数据高速缓存的加载指令未命中时发出加载未命中信号来跟踪每个线程的加载缺失。 IFU中的检测逻辑功能响应负载未命中信号以确定来自线程的有效指令是否处于流水线级中的一个。 如果在流水线中没有检测到线程的指令,则不需要刷新,并且线程处于等待状态,直到从高阶存储器返回所请求的数据。 如果在流水线中检测到线程中的任何指令,则刷新线程并重新读取指令。
    • 9. 发明授权
    • Efficient utilization of a store buffer using counters
    • 使用计数器高效利用存储缓冲区
    • US07519796B1
    • 2009-04-14
    • US10881935
    • 2004-06-30
    • Robert T. GollaMark A. Luttrell
    • Robert T. GollaMark A. Luttrell
    • G06F9/00
    • G06F9/3824G06F9/3814G06F9/3826G06F9/3842G06F9/3851G06F9/3857G06F9/3859G06F9/3867G06F9/3873
    • An apparatus and method for efficiently managing store buffer operations is described in connection with a multithreaded multiprocessor chip. A CMT processor keeps track of stores by maintaining two store counters in the instruction fetch unit (IFU). A speculative store counter in the IFU tracks stores in flight to the store buffer as well as stores already in the store buffer. A committed store counter in the IFU tracks the number of stores actually in the store buffer. The store buffer provides allocate and deallocate signals to accurately maintain the committed store counter. The IFU stops issuing stores to the store buffer once the speculative counter has reached a threshold value. Upon a flush, the IFU sets the speculative counter equal to the committed store counter. In this way, an efficient feedback mechanism is provided for preventing store buffer overflow that minimizes the store buffer size, operations time and power usage.
    • 结合多线程多处理器芯片描述用于有效管理存储缓冲器操作的装置和方法。 CMT处理器通过在指令获取单元(IFU)中维护两个存储计数器来跟踪存储。 IFU中的推测性商店计数器跟踪到商店缓冲区的商店,并且已经存储在商店缓冲区中。 IFU中提供的存储计数器跟踪实际在商店缓冲区中的商店数量。 存储缓冲区提供分配和释放信号以准确地维护提交的存储计数器。 一旦推测计数器达到阈值,IFU将停止向存储缓冲区发出存储。 在刷新时,IFU将推测计数器设置为等于提交的存储计数器。 以这种方式,提供了一种有效的反馈机制,用于防止存储缓冲区溢出,使存储缓冲区大小,操作时间和功率使用最小化。
    • 10. 发明申请
    • MITIGATION OF THREAD HOGS ON A THREADED PROCESSOR USING A GENERAL LOAD/STORE TIMEOUT COUNTER
    • 使用一般负载/存储超时计数器在螺纹加工器上减少螺纹头
    • US20130297910A1
    • 2013-11-07
    • US13463319
    • 2012-05-03
    • Jared C. SmolensRobert T. GollaMark A. LuttrellPaul J. Jordan
    • Jared C. SmolensRobert T. GollaMark A. LuttrellPaul J. Jordan
    • G06F9/30G06F9/38
    • G06F9/3861G06F9/3851G06F9/5016G06F2209/507
    • Systems and methods for efficient thread arbitration in a threaded processor with dynamic resource allocation. A processor includes a resource shared by multiple threads. The resource includes entries which may be allocated for use by any thread. Control logic detects long latency instructions. Long latency instructions have a latency greater than a given threshold. One example is a load instruction that has a read-after-write (RAW) data dependency on a store instruction that misses a last-level data cache. The long latency instruction or an immediately younger instruction is selected for replay for an associated thread. A pipeline flush and replay for the associated thread begins with the selected instruction. Instructions younger than the long latency instruction are held at a given pipeline stage until the long latency instruction completes. During replay, this hold prevents resources from being allocated to the associated thread while the long latency instruction is being serviced.
    • 在具有动态资源分配的线程处理器中有效的线程仲裁的系统和方法。 处理器包括由多个线程共享的资源。 资源包括可以分配给任何线程使用的条目。 控制逻辑检测长延迟指令。 长延迟指令的延迟大于给定的阈值。 一个示例是对于丢失最后一级数据高速缓存的存储指令具有对后读写(RAW)数据依赖性的加载指令。 选择长延迟指令或立即更年轻的指令用于相关线程的重放。 相关线程的流水线冲洗和重播将以所选指令开始。 比长延迟指令更年轻的指令保持在给定的流水线阶段,直到长延迟指令完成。 在重放期间,这种保持可以防止资源被分配给相关联的线程,而长时间延迟指令被服务。