会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 1. 发明授权
    • Handling multi-cycle integer operations for a multi-threaded processor
    • 处理多线程处理器的多循环整数运算
    • US08195919B1
    • 2012-06-05
    • US11927177
    • 2007-10-29
    • Christopher H. OlsonRobert T. GollaManish ShahJeffrey S. Brooks
    • Christopher H. OlsonRobert T. GollaManish ShahJeffrey S. Brooks
    • G06F13/00
    • G06F12/0842G06F9/3001G06F9/30043G06F9/3824G06F12/0855
    • Determining an effective address of a memory with a three-operand add operation in single execution cycle of a multithreaded processor that can access both segmented memory and non-segmented memory. During that cycle, the processor determines whether a memory segment base is zero. If the segment base is zero, the processor can access a memory location at the effective address without adding the segment base. If the segment base is not zero, such as when executing legacy code, the processor consumes another cycle to add the segment base to the effective address. Similarly, the processor consumes another cycle if the effective address or the linear address is misaligned. An integer execution unit that performs the three-operand add using a carry-save adder coupled to a carry look-ahead adder. If the segment base is not zero, the effective address is fed back through the integer execution unit to add the segment base.
    • 在可以访问分段存储器和非分段存储器的多线程处理器的单个执行周期中确定具有三操作数添加操作的存储器的有效地址。 在该周期期间,处理器确定存储器段基数是否为零。 如果分段基数为零,则处理器可以在有效地址的情况下访问存储器位置,而不添加分段基。 如果段基数不为零,例如执行遗留代码时,处理器消耗另一个周期,将段基数添加到有效地址。 类似地,如果有效地址或线性地址不对齐,则处理器消耗另一个周期。 整数执行单元,其使用耦合到进位先行加法器的进位保存加法器来执行三运算加法。 如果段基数不为零,则通过整数执行单元反馈有效地址以添加段基。
    • 2. 发明授权
    • Branch misprediction recovery mechanism for microprocessors
    • 微处理器分支错误预测恢复机制
    • US08099586B2
    • 2012-01-17
    • US12346349
    • 2008-12-30
    • Yuan C. ChouRobert T. GollaMark A. LuttrellPaul J. JordanManish Shah
    • Yuan C. ChouRobert T. GollaMark A. LuttrellPaul J. JordanManish Shah
    • G06F9/00
    • G06F9/3844G06F9/3863
    • A system and method for reducing branch misprediction penalty. In response to detecting a mispredicted branch instruction, circuitry within a microprocessor identifies a predetermined condition prior to retirement of the branch instruction. Upon identifying this condition, the entire corresponding pipeline is flushed prior to retirement of the branch instruction, and instruction fetch is started at a corresponding address of an oldest instruction in the pipeline immediately prior to the flushing of the pipeline. The correct outcome is stored prior to the pipeline flush. In order to distinguish the mispredicted branch from other instructions, identification information may be stored alongside the correct outcome. One example of the predetermined condition being satisfied is in response to a timer reaching a predetermined threshold value, wherein the timer begins incrementing in response to the mispredicted branch detection and resets at retirement of the mispredicted branch.
    • 减少分支误判处罚的系统和方法。 响应于检测到错误的分支指令,微处理器内的电路在退出分支指令之前识别预定的条件。 在识别该条件之后,在分支指令退出之前将整个对应的流水线冲洗,并且在冲洗流水线之前在管道中的最早的指令的对应地址开始指令提取。 在管道冲洗之前存储正确的结果。 为了将错误预测的分支与其他指令区分开,识别信息可以与正确的结果一起存储。 满足预定条件的一个示例是响应于定时器达到预定阈值,其中定时器响应于错误预测的分支检测而开始递增,并且在退出预测分支时重置。
    • 3. 发明申请
    • BRANCH MISPREDICTION RECOVERY MECHANISM FOR MICROPROCESSORS
    • 用于微处理器的分支机构故障恢复机制
    • US20100169611A1
    • 2010-07-01
    • US12346349
    • 2008-12-30
    • Yuan C. ChouRobert T. GollaMark A. LuttrellPaul J. JordanManish Shah
    • Yuan C. ChouRobert T. GollaMark A. LuttrellPaul J. JordanManish Shah
    • G06F9/312
    • G06F9/3844G06F9/3863
    • A system and method for reducing branch misprediction penalty. In response to detecting a mispredicted branch instruction, circuitry within a microprocessor identifies a predetermined condition prior to retirement of the branch instruction. Upon identifying this condition, the entire corresponding pipeline is flushed prior to retirement of the branch instruction, and instruction fetch is started at a corresponding address of an oldest instruction in the pipeline immediately prior to the flushing of the pipeline. The correct outcome is stored prior to the pipeline flush. In order to distinguish the mispredicted branch from other instructions, identification information may be stored alongside the correct outcome. One example of the predetermined condition being satisfied is in response to a timer reaching a predetermined threshold value, wherein the timer begins incrementing in response to the mispredicted branch detection and resets at retirement of the mispredicted branch.
    • 减少分支误判处罚的系统和方法。 响应于检测到错误的分支指令,微处理器内的电路在退出分支指令之前识别预定的条件。 在识别该条件之后,在分支指令退出之前将整个对应的流水线冲洗,并且在冲洗流水线之前在管道中的最早的指令的对应地址开始指令提取。 在管道冲洗之前存储正确的结果。 为了将错误预测的分支与其他指令区分开,识别信息可以与正确的结果一起存储。 满足预定条件的一个示例是响应于定时器达到预定阈值,其中定时器响应于错误预测的分支检测而开始递增,并且在退出预测分支时重置。
    • 4. 发明授权
    • Concurrent bypass to instruction buffers in a fine grain multithreaded processor
    • 并发绕过细粒度多线程处理器中的指令缓冲区
    • US07383403B1
    • 2008-06-03
    • US10881169
    • 2004-06-30
    • Jama I. BarrehManish ShahRobert T. Golla
    • Jama I. BarrehManish ShahRobert T. Golla
    • G06F12/00
    • G06F12/0842G06F9/3808G06F9/3824G06F9/3851G06F12/0888G06F12/0893
    • In one embodiment, a processor comprises a plurality of instruction buffers, an instruction cache coupled to supply instructions to the plurality of instruction buffers, and a cache miss unit coupled to the instruction cache. Each of the plurality of instruction buffers is configured to store instructions fetched from a respective thread of a plurality of threads. The cache miss unit is configured to monitor cache misses in the instruction cache. Particularly, the cache miss unit is configured to detect which of the plurality of threads experience a cache miss to a cache line. Responsive to a return of the cache line for storage in the instruction cache, the cache miss unit is configured to concurrently cause at least one instruction from the cache line to be stored in each of the plurality of instruction buffers that corresponds to one of the plurality of threads which experienced the cache miss to the cache line.
    • 在一个实施例中,处理器包括多个指令缓冲器,耦合到向多个指令缓冲器提供指令的指令高速缓存器,以及耦合到指令高速缓存器的高速缓存未命中单元。 多个指令缓冲器中的每一个被配置为存储从多个线程的相应线程获取的指令。 高速缓存未命中单元被配置为监视指令高速缓存中的高速缓存未命中。 特别地,高速缓存未命中单元被配置为检测多个线程中的哪个线程经历高速缓存未命中到高速缓存线。 响应于高速缓存行的返回以存储在指令高速缓存中,高速缓存未命中单元被配置为同时使得来自高速缓存行的至少一条指令被存储在与多个指令之一相对应的多个指令缓冲器中的每一个中 的线程经历高速缓存未命中到高速缓存行。
    • 6. 发明授权
    • Out-of-order memory transactions in a fine-grain multithreaded/multi-core processor
    • 细粒度多线程/多核处理器中的乱序存储器事务
    • US07571284B1
    • 2009-08-04
    • US10880965
    • 2004-06-30
    • Christopher H. OlsonManish Shah
    • Christopher H. OlsonManish Shah
    • G06F12/00G06F13/28G06F9/38
    • G06F13/1657
    • A method and apparatus for implementing out-of-order memory transactions in a multithreaded, multicore processor. In the present invention, circular queue comprising a plurality of queue buffers is used to store load data returned by a memory unit in response to a request issued by a processing module, such as a stream processing unit, in a processing core. As requests are issued, a destination queue buffer ID tag is transmitted as part of the request. When the request is returned, that destination number is reflected back and is used to control which queue within the circular queue will be used to store the retuned load data. Separate pointers are used to indicate the order of the queues to be read and the order of the queues to be written. The method and apparatus implemented by the present invention allows out-of-order data to be processed efficiently, thereby improving the performance of a fine grain multithreaded, multi-core processor.
    • 一种用于在多线程多核处理器中实现无序存储器事务的方法和装置。 在本发明中,包括多个队列缓冲器的循环队列用于存储由处理核心中的诸如流处理单元之类的处理模块发出的请求由存储器单元返回的加载数据。 当发出请求时,发送目的地队列缓冲区ID标签作为请求的一部分。 当返回请求时,该目的地号码将反映回来,并用于控制循环队列中的哪个队列将用于存储重新调用的加载数据。 单独的指针用于指示要读取的队列的顺序和要写入的队列的顺序。 通过本发明实现的方法和装置可以有效地处理无序数据,从而提高细粒度多线程多核处理器的性能。