会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 31. 发明申请
    • Predicted return address selection upon matching target in branch history table with entries in return address stack
    • 在分支历史表中匹配目标的预测返回地址选择与返回地址堆栈中的条目
    • US20050278516A1
    • 2005-12-15
    • US11207825
    • 2005-08-22
    • Masaki UkaiKyoko TashimaAiichiro Inoue
    • Masaki UkaiKyoko TashimaAiichiro Inoue
    • G06F9/00G06F9/32G06F9/38G06F9/42
    • G06F9/3806G06F9/30054G06F9/3844G06F9/3861
    • An information processing apparatus is capable of speculatively performing an execution, such as a pipeline/superscalar/out-of-order execution and equipped with a branch prediction mechanism (a branch history). The information processing apparatus, in order to process an instruction sequence that includes a subroutine at a high speed, is further equipped with a return address stack, of which the stack operation is activated at a time of completing execution of an subroutine call/return correspondent instruction and an entry designating unit (pointer), in order to adjust a time difference resulting from an instruction fetch being executed prior to completing an instruction, pointing to a position relative to the stack front and adjusting a time difference between an instruction fetch performed speculatively in advance and completion of an instruction both at a time of completing execution of a branch instruction that is correspondent to a subroutine call/return and at a time of predicting a subroutine call/return in synchrony to the instruction fetch. An entry position correspondent to a stack position pointed to by the entry designation unit is adopted as a subroutine call/return prediction address and consequently the prediction of the subroutine return address becomes more accurate and the processing speed becomes higher.
    • 信息处理装置能够推测性地执行诸如流水线/超标量/无序执行并且配备有分支预测机制(分支历史)的执行。 信息处理装置为了处理包含子程序的高速指令序列,还配备有返回地址堆栈,在完成子程序调用/返回对应的执行时堆栈操作被激活 指令和条目指定单元(指针),以便调整在完成指令之前执行的指令提取导致的时间差,指向相对于堆栈前端的位置,并且调整在推测上执行的指令提取之间的时间差 在完成执行与子程序调用/返回相对应的分支指令时以及在与指令获取同步地预测子程序调用/返回时,提前执行指令并完成指令。 采用由入口指定单元指向的堆栈位置的入口位置作为子程序调用/返回预测地址,因此子程序返回地址的预测变得更准确,处理速度变高。
    • 33. 发明申请
    • Apparatus and method of controlling instruction fetch
    • 控制指令提取的装置和方法
    • US20050198480A1
    • 2005-09-08
    • US11125212
    • 2005-05-10
    • Masaki UkaiAiichiro Inoue
    • Masaki UkaiAiichiro Inoue
    • G06F9/00G06F9/38
    • G06F9/3804G06F9/3802
    • An instruction control apparatus, and method, used with a device including a cache memory, a lower memory, an instruction fetch device issuing an instruction fetch request for a target of a first branch instruction to the cache memory, and an instruction control device processing a instruction sequence stored in the cache memory. The apparatus and method pre-prefetch a target instruction sequence for a target of a second branch instruction. A predetermined instruction sequence based on a past history is preliminarily transferred from the lower memory to the cache memory when the target instruction sequence for the target of the first branch instruction is not in the cache memory.
    • 一种指令控制装置和方法,与包括高速缓冲存储器,下位存储器,向第一转移指令的指令取出请求发出到高速缓冲存储器的指令取出装置的装置一起使用,以及指令控制装置, 存储在高速缓冲存储器中的指令序列。 该装置和方法为第二分支指令的目标预预取目标指令序列。 当第一分支指令的目标的目标指令序列不在高速缓冲存储器中时,基于过去历史的预定指令序列被预先从下部存储器传送到高速缓冲存储器。
    • 34. 发明授权
    • Pre-prefetching target of following branch instruction based on past history
    • 根据过去的历史预先预取跟随分支指令的目标
    • US06912650B2
    • 2005-06-28
    • US09793559
    • 2001-02-27
    • Masaki UkaiAiichiro Inoue
    • Masaki UkaiAiichiro Inoue
    • G06F9/00G06F9/38
    • G06F9/3804G06F9/3802
    • An instruction control apparatus, and method, used with a device including a cache memory, a lower memory, an instruction fetch device issuing an instruction fetch request for a target of a first branch instruction to the cache memory, and an instruction control device processing a instruction sequence stored in the cache memory. The apparatus and method pre-prefetch a target instruction sequence for a target of a second branch instruction. A predetermined instruction sequence based on a past history is preliminarily transferred from the lower memory to the cache memory when the target instruction sequence for the target of the first branch instruction is not in the cache memory.
    • 一种指令控制装置和方法,与包括高速缓冲存储器,下位存储器,向第一转移指令的指令取出请求发出到高速缓冲存储器的指令取出装置的装置一起使用,以及指令控制装置, 存储在高速缓冲存储器中的指令序列。 该装置和方法为第二分支指令的目标预预取目标指令序列。 当第一分支指令的目标的目标指令序列不在高速缓冲存储器中时,基于过去历史的预定指令序列被预先从下部存储器传送到高速缓冲存储器。
    • 38. 发明授权
    • Apparatus and method for controlling instructions at time of failure of branch prediction
    • 用于在分支预测失败时控制指令的装置和方法
    • US07636837B2
    • 2009-12-22
    • US11114202
    • 2005-04-26
    • Ryuichi SunayamaAiichirou InoueMasaki Ukai
    • Ryuichi SunayamaAiichirou InoueMasaki Ukai
    • G06F12/00
    • G06F9/3804
    • An apparatus includes a branch instruction prediction unit configured to make branch prediction, and a branch prediction control unit configured to control an instruction fetch control unit, an instruction buffer, an instruction decoder, and the branch instruction prediction unit, wherein when the branch prediction control unit ascertains that the branch prediction by the branch instruction prediction unit is erroneous, the branch prediction control unit outputs to the instruction fetch control unit a signal for suppressing an instruction fetch request already supplied to the memory unit and outputs to the instruction buffer a signal for nullifying the instruction buffer during a period between a point in time at which the ascertainment is made by the branch prediction control unit that the branch prediction by the branch instruction prediction unit is erroneous and a point in time at which the instruction buffer fetches a correct instruction from the memory unit.
    • 一种装置,包括:分支指示预测单元,用于进行分支预测;以及分支预测控制单元,被配置为控制指令获取控制单元,指令缓冲器,指令解码器和分支指令预测单元,其中当分支预测控制 单元确定分支指令预测单元的分支预测是错误的,分支预测控制单元向指令获取控制单元输出用于抑制已经提供给存储单元的指令获取请求的信号,并向指令缓冲器输出用于 在分支预测控制单元确定分支指令预测单元的分支预测错误的时间点和指令缓冲器获取正确指令的时间点之间的时间段期间使指令缓冲器无效 从存储单元。
    • 39. 发明申请
    • Cache-memory control apparatus, cache-memory control method and computer product
    • 缓存存储器控制装置,缓存存储器控制方法和计算机产品
    • US20080162818A1
    • 2008-07-03
    • US11980386
    • 2007-10-31
    • Tomoyuki OkawaHiroyuki KojimaHideki SakataMasaki Ukai
    • Tomoyuki OkawaHiroyuki KojimaHideki SakataMasaki Ukai
    • G06F12/08
    • G06F12/0897G06F12/0811
    • A cache-memory control apparatus controls a level-1 (L1) cache and a level-2 (L2) cache having a cache line divided into a plurality of sub-lines for storing data from the L1 cache. The cache-memory control apparatus includes a control-flag adding unit, an L1 cache control unit, and an L2 cache control unit. The control-flag adding unit provides an SP flag to each of the sub-lines. The L1-cache control unit acquires an access virtual address, and, when there is no data at the access virtual address, outputs an L2 cache-access address to the L2-cache control unit. The L2-cache control unit switches the SP flag based on a virtual page number in an L1 index and a physical page number in an L2 index. Based on the SP flag, corresponding one of the sub-lines is written back to the L1 cache.
    • 高速缓冲存储器控制装置控制一级(L1)高速缓存和二级(L2)高速缓存,该缓存具有被划分成用于存储来自L1高速缓存的数据的多条子行的高速缓存行。 高速缓冲存储器控制装置包括控制标志添加单元,L1高速缓存控制单元和L2高速缓存控制单元。 控制标志添加单元向每个子线提供SP标志。 L1高速缓存控制单元获取访问虚拟地址,并且当在访问虚拟地址处没有数据时,向L2高速缓存控制单元输出L2高速缓存访​​问地址。 L2缓存控制单元基于L1索引中的虚拟页号和L2索引中的物理页号来切换SP标志。 基于SP标志,相应的一条子行被写回到L1高速缓存。
    • 40. 发明授权
    • Predicted return address from return stack entry designated by computation unit with multiple inputs including return hit flag and re-fetch signal
    • 由具有多个输入的计算单元指定的返回堆栈条目的预测返回地址包括返回命中标志和重新获取信号
    • US07350062B2
    • 2008-03-25
    • US11207825
    • 2005-08-22
    • Masaki UkaiKyoko TashimaAiichiro Inoue
    • Masaki UkaiKyoko TashimaAiichiro Inoue
    • G06F9/38
    • G06F9/3806G06F9/30054G06F9/3844G06F9/3861
    • An information processing apparatus is capable of speculatively performing an execution, such as a pipeline/superscalar/out-of-order execution and equipped with a branch prediction mechanism (a branch history). The information processing apparatus, in order to process an instruction sequence that includes a subroutine at a high speed, is further equipped with a return address stack, of which the stack operation is activated at a time of completing execution of an subroutine call/return correspondent instruction and an entry designating unit (pointer), in order to adjust a time difference resulting from an instruction fetch being executed prior to completing an instruction, pointing to a position relative to the stack front and adjusting a time difference between an instruction fetch performed speculatively in advance and completion of an instruction both at a time of completing execution of a branch instruction that is correspondent to a subroutine call/return and at a time of predicting a subroutine call/return in synchrony to the instruction fetch. An entry position correspondent to a stack position pointed to by the entry designation unit is adopted as a subroutine call/return prediction address and consequently the prediction of the subroutine return address becomes more accurate and the processing speed becomes higher.
    • 信息处理装置能够推测性地执行诸如流水线/超标量/无序执行并且配备有分支预测机制(分支历史)的执行。 信息处理装置为了处理包含子程序的高速指令序列,还配备有返回地址堆栈,其中堆栈操作在完成子程序调用/返回通讯器的执行时被激活 指令和条目指定单元(指针),以便调整在完成指令之前执行的指令提取导致的时间差,指向相对于堆栈前端的位置,并且调整在推测上执行的指令提取之间的时间差 在完成执行与子程序调用/返回相对应的分支指令时以及在与指令获取同步地预测子程序调用/返回时,提前执行指令并完成指令。 采用由入口指定单元指向的堆栈位置的入口位置作为子程序调用/返回预测地址,因此子程序返回地址的预测变得更准确,处理速度变高。